svn commit: r471846 - in head/devel: . lattice-ice40-examples-hx1k

Tobias Kortkamp tobik at FreeBSD.org
Wed Jun 6 14:36:24 UTC 2018


Author: tobik
Date: Wed Jun  6 14:36:22 2018
New Revision: 471846
URL: https://svnweb.freebsd.org/changeset/ports/471846

Log:
  New port: devel/lattice-ice40-examples-hx1k
  
  Examples (LED blinky and VGA + PS/2) for the Lattice iCE40-HX1K
  FPGA board from Olimex
  
  WWW: https://github.com/OLIMEX/iCE40HX1K-EVB
  
  PR:		227593
  Submitted by:	Johnny Sorocil <jsorocil at gmail.com>
  Differential Revision:	https://reviews.freebsd.org/D15632

Added:
  head/devel/lattice-ice40-examples-hx1k/
  head/devel/lattice-ice40-examples-hx1k/Makefile   (contents, props changed)
  head/devel/lattice-ice40-examples-hx1k/distinfo   (contents, props changed)
  head/devel/lattice-ice40-examples-hx1k/pkg-descr   (contents, props changed)
  head/devel/lattice-ice40-examples-hx1k/pkg-plist   (contents, props changed)
Modified:
  head/devel/Makefile

Modified: head/devel/Makefile
==============================================================================
--- head/devel/Makefile	Wed Jun  6 14:26:23 2018	(r471845)
+++ head/devel/Makefile	Wed Jun  6 14:36:22 2018	(r471846)
@@ -1327,6 +1327,7 @@
     SUBDIR += kyra
     SUBDIR += kyua
     SUBDIR += lasi
+    SUBDIR += lattice-ice40-examples-hx1k
     SUBDIR += lcov
     SUBDIR += leaktracer
     SUBDIR += leatherman

Added: head/devel/lattice-ice40-examples-hx1k/Makefile
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/lattice-ice40-examples-hx1k/Makefile	Wed Jun  6 14:36:22 2018	(r471846)
@@ -0,0 +1,47 @@
+# Created by: Johnny Sorocil <jsorocil at gmail.com>
+# $FreeBSD$
+
+PORTNAME=	lattice-ice40-examples-hx1k
+PORTVERSION=	g20180310
+CATEGORIES=	devel
+
+MAINTAINER=	jsorocil at gmail.com
+COMMENT=	Lattice iCE40 FPGA examples for the Olimex HX1K board
+
+LICENSE=	APACHE20
+LICENSE_FILE=	${WRKSRC}/LICENSE
+
+BUILD_DEPENDS=	abc:cad/abc \
+		arachne-pnr:devel/arachne-pnr \
+		icepack:devel/icestorm \
+		yosys:devel/yosys
+
+USES=		gmake
+USE_GITHUB=	yes
+GH_ACCOUNT=	OLIMEX
+GH_PROJECT=	iCE40HX1K-EVB
+GH_TAGNAME=	69df5a7fc2daa8f00a984426b721499f6df22492
+
+EXAMPLESDIR=	${PREFIX}/share/examples/lattice-ice40-olimex
+NO_ARCH=	yes
+
+do-build:
+	${DO_MAKE_BUILD} -C ${WRKSRC}/demo/ice40hx1k-evb
+	${DO_MAKE_BUILD} -C ${WRKSRC}/demo/ice40-io-video
+
+do-install:
+	@${MKDIR} ${STAGEDIR}${EXAMPLESDIR}
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40hx1k-evb/example.v \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-blinky.v
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40hx1k-evb/example.rpt \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-blinky.rpt
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40hx1k-evb/example.bin \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-blinky.bin
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40-io-video/example.v \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-vga-ps2.v
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40-io-video/example.rpt \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-vga-ps2.rpt
+	${INSTALL_DATA} ${WRKSRC}/demo/ice40-io-video/example.bin \
+		${STAGEDIR}${EXAMPLESDIR}/ice40hx1k-vga-ps2.bin
+
+.include <bsd.port.mk>

Added: head/devel/lattice-ice40-examples-hx1k/distinfo
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/lattice-ice40-examples-hx1k/distinfo	Wed Jun  6 14:36:22 2018	(r471846)
@@ -0,0 +1,3 @@
+TIMESTAMP = 1526722546
+SHA256 (OLIMEX-iCE40HX1K-EVB-g20180310-69df5a7fc2daa8f00a984426b721499f6df22492_GH0.tar.gz) = 99a6328ccfcd7a6a8a25d1521c028d1a1b5418b7de1dcc3b2db40e7d1bed9034
+SIZE (OLIMEX-iCE40HX1K-EVB-g20180310-69df5a7fc2daa8f00a984426b721499f6df22492_GH0.tar.gz) = 2181827

Added: head/devel/lattice-ice40-examples-hx1k/pkg-descr
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/lattice-ice40-examples-hx1k/pkg-descr	Wed Jun  6 14:36:22 2018	(r471846)
@@ -0,0 +1,4 @@
+Examples (LED blinky and VGA + PS/2) for the Lattice iCE40-HX1K
+FPGA board from Olimex
+
+WWW: https://github.com/OLIMEX/iCE40HX1K-EVB

Added: head/devel/lattice-ice40-examples-hx1k/pkg-plist
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/lattice-ice40-examples-hx1k/pkg-plist	Wed Jun  6 14:36:22 2018	(r471846)
@@ -0,0 +1,6 @@
+%%EXAMPLESDIR%%/ice40hx1k-blinky.bin
+%%EXAMPLESDIR%%/ice40hx1k-blinky.rpt
+%%EXAMPLESDIR%%/ice40hx1k-blinky.v
+%%EXAMPLESDIR%%/ice40hx1k-vga-ps2.bin
+%%EXAMPLESDIR%%/ice40hx1k-vga-ps2.rpt
+%%EXAMPLESDIR%%/ice40hx1k-vga-ps2.v


More information about the svn-ports-all mailing list