Port installs a lot of security risks.

Benjamin byu17 at uclive.ac.nz
Sun Jul 8 09:36:25 UTC 2012


I am porting the Quartus II software design package released by Altera 
for Linux. This is my first port, and I've had some great help from this 
list already. Another question though.

I've made the pkg-plist as per the instructions in the porter's 
handbook. When I install the port, I get a list of "vulnerabilities" 
that is quite big. I've attached it as a text file.

There are also a lot of files that are under:

"This port has installed the following world-writable files/directories."

What does this message mean?
-------------- next part --------------
===>   Registering installation for quartus_ii-11.1
===> SECURITY REPORT: 
      This port has installed the following files which may act as network
      servers and may therefore pose a remote security risk to the system.
/usr/local/altera/quartus/linux/perl/bin/perl
/usr/local/altera/nios2eds/components/altera_nios2/eperl.bin (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/ip/altera/sopc_builder_ip/altera_mp32/eperl.bin (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/libsys_cpt.so
/usr/local/altera/nios2eds/bin/nios2-gdb-server-wrapped
/usr/local/altera/quartus/linux/tcl8.5/tls1.6/libtls1.6.so
/usr/local/altera/quartus/linux/libpgm_pgme.so
/usr/local/altera/quartus/linux/jtagd
/usr/local/altera/quartus/linux/jre/lib/i386/libnet.so
/usr/local/altera/quartus/common/ip/altera/common/ip_toolbench/v1.3.0/linux/ip_toolbench/v1.3.0/bin/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/nios2eds/bin/linux/nios2-iss
/usr/local/altera/nios2eds/bin/nios2-terminal-wrapped
/usr/local/altera/quartus/linux/jre/lib/i386/libdt_socket.so
/usr/local/altera/ip/altera/nios2_ip/altera_nios2/eperl.bin (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/libtcl8.5.so
/usr/local/altera/quartus/linux/jre/lib/i386/server/libjvm.so
/usr/local/altera/quartus/linux/jre/javaws/javaws (USES POSSIBLY INSECURE FUNCTIONS: tempnam)
/usr/local/altera/nios2eds/bin/linux/libaltera_avalon_uart.so
/usr/local/altera/quartus/linux/lmgrd
/usr/local/altera/quartus/common/ip/altera/common/ip_toolbench/v1.3.0/bin/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/nios2eds/bin/linux/libaltera_avalon_jtag_uart.so
/usr/local/altera/ip/altera/sopc_builder_ip/altera_avalon_jtag_phy/libbytestream_pli.so
/usr/local/altera/quartus/common/ip/altera/common/ip_toolbench/v1.3.0/linux/devtools/bin/perl (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/ip/altera/sopc_builder_ip/altera_mp32/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/libQtNetwork.so.4
/usr/local/altera/quartus/linux/jre/lib/i386/client/libjvm.so
/usr/local/altera/quartus/linux/jre/lib/i386/motif21/libmawt.so
/usr/local/altera/quartus/linux/jre/lib/i386/native_threads/libhpi.so
/usr/local/altera/quartus/linux/alterad
/usr/local/altera/nios2eds/components/altera_nios2/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/jre/lib/i386/libnio.so
/usr/local/altera/quartus/cusp/bin/libcusp111_parser.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/jre/lib/i386/libdeploy.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/quartus/linux/jre/bin/javaws (USES POSSIBLY INSECURE FUNCTIONS: tempnam)
/usr/local/altera/quartus/linux/quartus_sh (USES POSSIBLY INSECURE FUNCTIONS: mktemp)
/usr/local/altera/quartus/linux/lmutil
/usr/local/altera/quartus/linux/libjtag_pli-blaster_vpi.so
/usr/local/altera/quartus/common/ip/altera/common/ip_toolbench/v1.3.0/linux/devtools/bin/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/ip/altera/nios2_ip/altera_nios2/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)
/usr/local/altera/ip/altera/common/ip_toolbench/v1.3.0/bin/libeperl.so (USES POSSIBLY INSECURE FUNCTIONS: tmpnam)

      This port has installed the following world-writable files/directories.
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/19_diagnostics/howto.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_cpu_fifo.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-stratixiii-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/22_locale/locale.html
/usr/local/altera/quartus/common/tcl/internal/qsimlib_comp.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_atlantic_slave.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/M680x0-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/class_ptf_update_to_2_0.pl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/MCore-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/fdollars-in-identifiers.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_circuit.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-extra-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Environment-Variables.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Dollar-Signs.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_mux_reg.pm
/usr/local/altera/quartus/common/tcl/internal/nativelink/qeda_spectrum.dat
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Code-Gen-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Option-Index.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/23_containers/wrappers_h.txt
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_postamble_panel.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_pipe_module.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-stratix-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Function-Attributes.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_update_to_4_0.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Return-Address.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_control_signal.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Assertions.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-basic-template.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Operator-Precedence-Problems.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_title_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Traditional-macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Common-Predefined-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/What-you-can-and-what-you-cannot-do-in--load.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Invoking-G--.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Disappointments.html
/usr/local/altera/quartus/common/tcl/packages/dtw/dtw_dwz.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Constant-string-objects.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/20_util/howto.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_dk_clocks_panel.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-sr-template.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Directory-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Differences-from-previous-versions.html
/usr/local/altera/quartus/common/tcl/apps/relcon/relative_constraint.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Unnamed-Fields.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Directives-Within-Macro-Arguments.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_memory_data_panel.tcl
/usr/local/altera/quartus/common/tcl/packages/xmltiming/xmltiming.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Cross-Compiler-Problems.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Local-Labels.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_update_to_2_8.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_instance.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_device.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Lvalues.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_project.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Gcov-and-Optimization.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Intel-960-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_known_bus_arbitrators.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-self_test-lib.tcl
/usr/local/altera/nios2eds/documents/html_content/altera_banner.jpg
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_instance.pm
/usr/local/altera/quartus/common/tcl/apps/dse/calculate_quality_of_fit.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Asm-Labels.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_addr_ctrl_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/IA-64-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_dcfifo.pm
/usr/local/altera/nios2eds/documents/gnu-tools/binutils/as.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Actual-Bugs.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Standard-Libraries.html
/usr/local/altera/quartus/sopc_builder/bin/europa/nios_oci_im.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/em_instruction_fetch.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_expression.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Pragmas.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Floating-point-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Gcov-Intro.html
/usr/local/altera/nios2eds/documents/license-lwip.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_avalon_master.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Assembler-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_hi.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/ARM-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/C---Named-Operators.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/confdeps.dot
/usr/local/altera/quartus/sopc_builder/bin/europa/e_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Integers-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_upstream_pipeline.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-arria-lib.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-ccl-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Ifdef.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_vhdl_library.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_register.pm
/usr/local/altera/quartus/common/tcl/internal/nativelink/synplify.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_stop.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Implementation-Details.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Interoperation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Mixed-Declarations.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Offsetof.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/VAX-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/fdollars-in-identifiers.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_sim_wave_text.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/porting.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/DEC-Alpha-VMS-Options.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/qeda_precision.dat
/usr/local/altera/quartus/sopc_builder/bin/europa/e_async_fifo.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Warning-Options.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_main.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Bound-member-functions.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Conditional-Uses.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_update_to_2_0.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_avalon_adapter_slave.pm
/usr/local/altera/quartus/common/tcl/apps/gui/dtw/dtw_timing_analysis.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/The-preprocessing-language.html
/usr/local/altera/quartus/common/tcl/packages/dse/qof-lib.tcl
/usr/local/altera/quartus/common/tcl/apps/fit_utils/migrate_family.tcl
/usr/local/altera/quartus/common/tcl/packages/dse/llr_remover.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_module_database.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_object.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-qic-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Environment-Variables.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Funding.html
/usr/local/altera/quartus/common/tcl/apps/pmaff/EP4SGX530_map.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Deleted-Code.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/debug.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_thing_that_can_go_in_a_module.pm
/usr/local/altera/nios2eds/documents/license-ucosii.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_reset_gen.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_fsm.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/_e_ram_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Altera-Nios-II-Options.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_node_entry.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Simple-Constraints.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_component.pm
/usr/local/altera/nios2eds/documents/index.htm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Contributors.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_ahb_slave_arbitration_module.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_sys_clocks_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Attribute-Syntax.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Diagnostics.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_conduit_master_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/SPARC-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_parameter_assign.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/GNU-Free-Documentation-License.html
/usr/local/altera/nios2eds/documents/gnu-tools/gdb/gdb.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_efifo.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/V850-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/26_numerics/howto.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/FRV-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Multi-Alternative.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/NS32K-Options.html
/usr/local/altera/quartus/common/tcl/apps/gui/dtw/dtw.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Standard-Predefined-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Variadic-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Empty-Structures.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_readmem.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ram.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/porting-howto.xml
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C-Dialect-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/trigraphs.html
/usr/local/altera/quartus/common/tcl/apps/pmaff/EP4SGX530ES_map.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Type-Attributes.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Alpha-Built-in-Functions.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Defined.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/riviera-pro.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Vague-Linkage.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/M68hc1x-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Tru64-Pragmas.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/howto.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/CRIS-Options.html
/usr/local/altera/quartus/common/tcl/packages/dse/dse-maxii-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Preprocessor-Output.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-rpt-lib.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativesyn.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Designated-Inits.html
/usr/local/altera/quartus/sopc_builder/bin/europa/_e_auto_file_write.pm
/usr/local/altera/quartus/common/tcl/packages/qnetwork/qslave-lib.tcl
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_board_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/22_locale/howto.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_top_dog.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_misc_panel.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_slave_y.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C-Extensions.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Complex.html
/usr/local/altera/quartus/common/tcl/packages/dse/gui-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Dialect-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/explanations.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/COPYING
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Stringification.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Object-like-Macros.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/leonardo.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Alignment.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/dashMF.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Conditionals.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Debugging-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Explicit-Reg-Vars.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativesimflow.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Elif.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Pointer-Arith.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/PROBLEMS
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_import_panel.tcl
/usr/local/altera/quartus/common/tcl/packages/dse/dse-logiclock-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Variable-Length.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_waitrequest_pipeline.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/If.html
/usr/local/altera/nios2eds/documents/license-eclipse.txt
/usr/local/altera/quartus/common/tcl/packages/xmltiming/db.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/MMIX-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Preprocessor-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_blind_instance_port.pm
/usr/local/altera/quartus/linux/modelsim_q.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Traditional-miscellany.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_device_parameters.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Objective-C.html
/usr/local/altera/nios2eds/documents/license.txt
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Running-Protoize.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/vcsmx_run_script.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/System-specific-Predefined-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/RS-6000-and-PowerPC-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/G---and-GCC.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Zero-Length.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_atlantic_master.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_endian.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_data_entry.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_cascade_chain.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Function-Names.html
/usr/local/altera/nios2eds/documents/kit_docs_readme.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_pipe_register.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_initial_block.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Traditional-lexical-analysis.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Invoking-GCC.html
/usr/local/altera/quartus/common/tcl/packages/qnetwork/qnetwork-lib.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativetan.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Function-like-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Environment-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_master.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_master_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Spec-Files.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_utils.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/index.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Variadic-Macros.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/precision.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C-Implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Compatibility.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Undefining-and-Redefining-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Submodel-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Architecture-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Subscripting.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Restricted-Pointers.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Hints-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_all.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ahb_master.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-qsf-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/MN10300-Options.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-required-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/20_util/allocator.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/dashMF.html
/usr/local/altera/quartus/common/tcl/packages/qnetwork/qtransfer-lib.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativelinkflow.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/21_strings/stringtok_h.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_port.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/install.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Line-Control.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_sim_fopen.pm
/usr/local/altera/quartus/common/tcl/internal/nativelink/fc2.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_export.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/HEADER_POLICY
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_name_browser.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/configury.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/X86-Built-in-Functions.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_slave.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/s_atlantic_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/TODO
/usr/local/altera/nios2eds/documents/html_content/welcome.htm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Protoize-Caveats.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_assign_is_x.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/europa.pm
/usr/local/altera/quartus/common/tcl/packages/dse/designspace-lib.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_port.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/porting.texi
/usr/local/altera/quartus/sopc_builder/bin/europa/e_shift_register.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_if.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_clocks_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/license.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/configopts.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ncsim.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-rpt-pkg.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_self_wiring_signal.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/BUGS
/usr/local/altera/quartus/sopc_builder/bin/europa/e_assign.pm
/usr/local/altera/quartus/common/tcl/internal/nativelink/qeda_synplify.dat
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/23_containers/howto.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/ncsim.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Bug-Criteria.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Identifier-characters.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Language-Independent-Options.html
/usr/local/altera/quartus/common/tcl/packages/dse/dse-seed-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/ARM-Built-in-Functions.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_ip_import.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/DEC-Alpha-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/MIPS-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/M32R-D-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Escaped-Newlines.html
/usr/local/altera/quartus/common/tcl/packages/dse/llr_softener.tcl
/usr/local/altera/quartus/common/tcl/apps/qpm/qinstall.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/D30V-Options.html
/usr/local/altera/quartus/common/tcl/packages/io/io.tcl
/usr/local/altera/quartus/common/tcl/packages/qboard/qboard-de1-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/27_io/binary_iostreams_kanze.txt
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Qualifiers-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Standards.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Service.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/headers_cc.txt
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/22_locale/messages.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/faq/index.txt
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Interface.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Java-Exceptions.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_memory_presets.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Implementation-defined-behavior.html
/usr/local/altera/quartus/common/tcl/packages/dse/sta-helper.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/confdeps.png
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Library-functions-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_slave.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/s_conduit_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Darwin-Pragmas.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_sim_cmd.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-fae-template.tcl
/usr/local/altera/nios2eds/documents/html_content/toc.htm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Optimize-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/C++STYLE
/usr/local/altera/quartus/common/tcl/packages/dse/dse-stratixiv-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/22_locale/codecvt.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Contributing.html
/usr/local/altera/quartus/common/tcl/apps/pmaff/EP4SGX110_map.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-out-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/H8-300-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Local-Reg-Vars.html
/usr/local/altera/quartus/common/tcl/internal/qeda_write_fx.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/21_strings/gotw29a.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_width_conduit.pm
/usr/local/altera/quartus/common/tcl/packages/qboard/qboard-de2-pkg.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-install-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/compatibility-alias.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Macro-Arguments.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/DESIGN
/usr/local/altera/quartus/sopc_builder/bin/europa/e_comment.pm
/usr/local/altera/nios2eds/documents/gnu-tools/newlib/libc.html
/usr/local/altera/quartus/common/tcl/packages/sim_lib_info/sim_lib_info_pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/abi.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/ballocator_doc.txt
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Wrapper-Headers.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Search-Path.html
/usr/local/altera/quartus/common/tcl/apps/dse/dse.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Locale-specific-behavior-implementation.html
/usr/local/altera/quartus/common/tcl/packages/qboard/qboard-cc-pkg.tcl
/usr/local/altera/quartus/common/tcl/packages/xmltiming/test-main.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Translation-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_mnemonic.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/GNU-Free-Documentation-License.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lcell.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/25_algorithms/howto.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_instruction_field.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_fifo_with_registered_outputs.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/lwg-active.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_deferred_control_register.pm
/usr/local/altera/quartus/common/tcl/apps/pmaff/pmadirect_ff_placer.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Min-and-Max.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Alternate-Keywords.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Header-Files.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Character-Escapes.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/contribute.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_project.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Identifiers-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/22_locale/ctype.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Constructing-Calls.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_ahb_master_arbitration_module.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_clk_gen.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/PowerPC-AltiVec-Built-in-Functions.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-db-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Other-Builtins.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_downstream_pipeline.pm
/usr/local/altera/quartus/common/tcl/packages/dse/ccl-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Modifiers.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/TMS320C3x-C4x-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/21_strings/stringtok_std_h.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/e_icache.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Warnings-and-Errors.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/PDP-11-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/24_iterators/howto.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/porting-howto.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Bugs.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_clock_crossing.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_update_to_2_6.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Copying.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/modelsim.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_global_project.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_signal.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-full_db-template.tcl
/usr/local/altera/quartus/common/tcl/packages/dse/dse-genericfamily-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Initializers.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/18_support/howto.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_nios_custom_instruction_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Preprocessing-directives-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Strong-Using.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/i386-and-x86-64-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/howto.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/qeda_simulation.dat
/usr/local/altera/quartus/common/tcl/packages/dtw/dtw_util.tcl
/usr/local/altera/quartus/common/tcl/apps/qpm/qar_c_code.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Hex-Floats.html
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_translato.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_expression_is_x.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Invoking-Gcov.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/27_io/binary_iostreams_kuehl.txt
/usr/local/altera/quartus/sopc_builder/bin/europa/s_avalon_master_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Incomplete-Enums.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/lib3styles.css
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Traditional-warnings.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Attributes.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Solaris-Pragmas.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_wr_clocks_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Non-bugs.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_scfifo.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Else.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_altsyncram.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_case.pm
/usr/local/altera/quartus/common/tcl/apps/qpm/qarw.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Global-Reg-Vars.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/AVR-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_modelsim.pm
/usr/local/altera/quartus/common/tcl/apps/qboard/qboard_script.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/s_nios_custom_instruction_master_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Invocation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Variable-Attributes.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Compound-Literals.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Conditionals.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_control_register.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_dpram.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Tokenization.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/System-Headers.html
/usr/local/altera/quartus/common/tcl/apps/pmaff/EP4SGX230_map.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/RELEASE-NOTES
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/documentation.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_d_dm_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/sgiexts.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/GNU-Project.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_sta_names.tcl
/usr/local/altera/quartus/common/tcl/packages/psdf/psdf.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Other-Directives.html
/usr/local/altera/quartus/common/tcl/packages/dse/dse-hardcopyii-lib.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_firm_flip_flop.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_custom_instruction_slave.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Deprecated-Features.html
/usr/local/altera/quartus/sopc_builder/bin/europa/em_instruction_spitter.pm
/usr/local/altera/quartus/common/tcl/internal/nativelink/vcs_mx.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_simulator.pm
/usr/local/altera/quartus/common/tcl/packages/qnetwork/qmonitor-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Arrays-and-pointers-implementation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Case-Ranges.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-project-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/21_strings/howto.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Option-Index.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Inline.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Precompiled-Headers.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Cast-to-Union.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Computed-Includes.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Function-Prototypes.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Type-encoding.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_project_panel.tcl
/usr/local/altera/quartus/common/tcl/apps/fit_utils/generate_pll_mif_file.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_mux.pm
/usr/local/altera/quartus/common/tcl/packages/dse/flow-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/External-Bugs.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Statement-Exprs.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Structures-unions-enumerations-and-bit-fields-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_std_synchronizer.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/SH-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_process_x.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-cyclone-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Trouble.html
/usr/local/altera/quartus/common/tcl/packages/dse/dse-cycloneiii-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Macro-Pitfalls.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_state_register.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Machine-Constraints.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_finish_panel.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-sr_qic-template.tcl
/usr/local/altera/quartus/common/tcl/packages/io/io_pkg.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/vcs.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/europa_ptf.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/ARM-Pragmas.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Copy-Assignment.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_pull.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ahb_slave.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Altera-Nios-II-Built-in-Functions.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_synchronizer2.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Traditional-Mode.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Include-Syntax.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_blind_instance.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_dcache.pm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_timing.tcl
/usr/local/altera/quartus/common/tcl/internal/simlib_comp.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Xtensa-Options.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_dqs_panel.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Gcov.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Target-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_custom_instruction_master.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-custom-template.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-auto-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/CHECKLIST
/usr/local/altera/quartus/sopc_builder/bin/europa/e_pbm_module.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_signal_junction_database.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Obsolete-Features.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_sim_write.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_avalon_adapter_master.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C--98-Thread-Local-Edits.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Option-Summary.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_process.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-qxp-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/COPYING.DOC
/usr/local/altera/quartus/sopc_builder/bin/europa/_e_rom_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Include-Operation.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Name-lookup.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Extended-Asm.html
/usr/local/altera/quartus/common/tcl/packages/qboard/qboard-den-pkg.tcl
/usr/local/altera/quartus/common/tcl/packages/dse/dse-cycloneii-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/binutils/binutils.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Constraints.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_log.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Characters-implementation.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_top_module.pm
/usr/local/altera/quartus/common/tcl/packages/dse/result-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Comments.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Extensions.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-export_db_hc-pkg.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/s_avalon_tristate_master_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Implementation-limits.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/S-390-and-zSeries-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/index.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Altera-Nios-II-Pragmas.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Garbage-Collection.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Incompatibilities.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Typeof.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/lwg-defects.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/ARC-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_class_update_to_2_0.pm
/usr/local/altera/quartus/common/tcl/apps/qpm/qar.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_synchronizer.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_default_module_marker.pm
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/27_io/howto.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_control_bit.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Index-of-Directives.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_avalon_slave.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_if_x.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-stratixv-lib.tcl
/usr/local/altera/quartus/common/tcl/apps/qslave/qslave.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Initial-processing.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/ext/mt_allocator.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_auto_detect.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Temporaries.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-export_db_post_map-pkg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/concept_check.diff
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Target-Builtins.html
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativesim.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Predefined-Macros.html
/usr/local/altera/nios2eds/documents/html_content/exampledesigns.htm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Argument-Prescan.html
/usr/local/altera/quartus/common/tcl/packages/dtw/dtw_msg.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/test.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_atlantic_master_arbitration_module.pm
/usr/local/altera/quartus/common/tcl/packages/dse/dse-max7000-lib.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_rom.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Gcov-Data-Files.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Overall-Options.html
/usr/local/altera/nios2eds/documents/html_content/nios2_logo.jpg
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Swallowing-the-Semicolon.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Thread-Local.html
/usr/local/altera/quartus/common/tcl/packages/dse/remote-compile.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Newlines-in-Arguments.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Volatiles.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Executing-code-before-main.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Static-Definitions.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Pragmas.html
/usr/local/altera/nios2eds/documents/license-jaxen.txt
/usr/local/altera/quartus/common/tcl/internal/nativelink/active-hdl.tcl
/usr/local/altera/nios2eds/documents/html_content/documentation.htm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Darwin-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_test_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Concept-Index.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_avalon_adapter_interface.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_base.pm
/usr/local/altera/nios2eds/documents/gnu-tools/binutils/ld.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Conditional-Syntax.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/debug_mode.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Duplication-of-Side-Effects.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-export_db_all-pkg.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/ncsim_run_script.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Vector-Extensions.html
/usr/local/altera/quartus/sopc_builder/bin/europa/generator_library.pm
/usr/local/altera/nios2eds/documents/gnu-tools/newlib/libm.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Objective-C-Dialect-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/new_e_ptf.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_instance.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Concatenation.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-basic_qic-template.tcl
/usr/local/altera/quartus/common/tcl/packages/simlib_common/simlib_common_pkg.tcl
/usr/local/altera/quartus/sopc_builder/bin/europa/e_mnemonic_table.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_bdpram.pm
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-dse-template.tcl
/usr/local/altera/quartus/common/tcl/packages/dse/dse-stratixii-lib.tcl
/usr/local/altera/quartus/common/tcl/internal/nativelink/qnativeresyn.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Fixed-Headers.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_adapter_master_y.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Misnesting.html
/usr/local/altera/nios2eds/documents/html_content/banner.htm
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_node_list.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/RS-6000-and-PowerPC-Pragmas.html
/usr/local/altera/quartus/common/tcl/apps/pmaff/EP4SGX360_map.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Xstormy16-Options.html
/usr/local/altera/quartus/common/tcl/apps/dtw/dtw_dq_resync_panel.tcl
/usr/local/altera/quartus/common/tcl/packages/qsimlib_comp/qsimlib-db.tcl
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-internal_test-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C---Misunderstandings.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-old_restore-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/makedoc.awk
/usr/local/altera/quartus/sopc_builder/bin/europa/e_edge_detector.pm
/usr/local/altera/nios2eds/documents/license-gpl.txt
/usr/local/altera/nios2eds/documents/license-apache.txt
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Bug-Reporting.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Obsolete-once-only-headers.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_avalon_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Wtrigraphs.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Overview.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_lpm_equal.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Keyword-Index.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Template-Instantiation.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/17_intro/BADNAMES
/usr/local/altera/quartus/sopc_builder/bin/europa/e_ptf_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Self-Referential-Macros.html
/usr/local/altera/nios2eds/documents/gnu-tools/binutils/gprof.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Labels-as-Values.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/C99-Thread-Local-Edits.html
/usr/local/altera/nios2eds/documents/license-NicheStack_Nios_II_Edition.pdf
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Link-Options.html
/usr/local/altera/quartus/sopc_builder/bin/europa/s_avalon_tristate_slave_arbitration_module.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/HPPA-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/System-V-Options.html
/usr/local/altera/nios2eds/documents/gnu-tools/libstdc++-v3/faq/index.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_parameter.pm
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Nested-Functions.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_vfifo.pm
/usr/local/altera/quartus/common/tcl/packages/qsimlib_comp/qsimlib-gui.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Backwards-Compatibility.html
/usr/local/altera/quartus/sopc_builder/bin/europa/_e_auto_file_read.pm
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Once-Only-Headers.html
/usr/local/altera/nios2eds/documents/gnu-tools/cpp/Character-sets.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Long-Long.html
/usr/local/altera/nios2eds/documents/gnu-tools/gcc/Wtrigraphs.html
/usr/local/altera/quartus/sopc_builder/bin/europa/e_fifo.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_drom.pm
/usr/local/altera/quartus/sopc_builder/bin/europa/e_jtag_project.pm
/usr/local/altera/quartus/common/tcl/packages/qnetwork/qmaster-lib.tcl
/usr/local/altera/nios2eds/documents/gnu-tools/binutils/bfd.html
/usr/local/altera/quartus/common/tcl/packages/qpm/qpm-lib-pkg.tcl

      If there are vulnerabilities in these programs there may be a security
      risk to the system. FreeBSD makes no guarantee about the security of
      ports included in the Ports Collection. Please type 'make deinstall'
      to deinstall the port if this is a concern.


More information about the freebsd-ports mailing list