[Bug 206202] www/mod_spdy

bugzilla-noreply at freebsd.org bugzilla-noreply at freebsd.org
Wed Jan 13 14:36:45 UTC 2016


https://bugs.freebsd.org/bugzilla/show_bug.cgi?id=206202

            Bug ID: 206202
           Summary: www/mod_spdy
           Product: Ports & Packages
           Version: Latest
          Hardware: amd64
                OS: Any
            Status: New
          Severity: Affects Some People
          Priority: ---
         Component: Individual Port(s)
          Assignee: freebsd-ports-bugs at FreeBSD.org
          Reporter: mike.jakubik at intertainservices.com
                CC: masaki at club.kyutech.ac.jp
                CC: masaki at club.kyutech.ac.jp
             Flags: maintainer-feedback?(masaki at club.kyutech.ac.jp)

The port will not compile on a system without IPV6 support. Below is the
compile process.

CXX(target)
out/Release/obj.target/spdy_apache_test/mod_spdy/apache/testing/spdy_apache_test_main.o
mod_spdy/apache/sockaddr_util_test.cc:67:51: error: use of undeclared
identifier 'APR_INET6'
                &original, "2001:500:88:200::10", APR_INET6,
                                                  ^
./testing/gtest/include/gtest/gtest.h:1961:54: note: expanded from macro
'ASSERT_EQ'
# define ASSERT_EQ(val1, val2) GTEST_ASSERT_EQ(val1, val2)
                                                     ^
./testing/gtest/include/gtest/gtest.h:1945:33: note: expanded from macro
'GTEST_ASSERT_EQ'
                      expected, actual)
                                ^
testing/gtest/include/gtest/gtest_pred_impl.h:166:40: note: expanded from macro
'ASSERT_PRED_FORMAT2'
  GTEST_PRED_FORMAT2_(pred_format, v1, v2, GTEST_FATAL_FAILURE_)
                                       ^
testing/gtest/include/gtest/gtest_pred_impl.h:147:43: note: expanded from macro
'GTEST_PRED_FORMAT2_'
  GTEST_ASSERT_(pred_format(#v1, #v2, v1, v2), \
                                          ^
testing/gtest/include/gtest/gtest_pred_impl.h:77:52: note: expanded from macro
'GTEST_ASSERT_'
  if (const ::testing::AssertionResult gtest_ar = (expression)) \
                                                   ^
mod_spdy/apache/sockaddr_util_test.cc:85:51: error: use of undeclared
identifier 'APR_INET6'
                &original, "2001:500:88:200::10", APR_INET6,
                                                  ^
./testing/gtest/include/gtest/gtest.h:1961:54: note: expanded from macro
'ASSERT_EQ'
# define ASSERT_EQ(val1, val2) GTEST_ASSERT_EQ(val1, val2)
                                                     ^
./testing/gtest/include/gtest/gtest.h:1945:33: note: expanded from macro
'GTEST_ASSERT_EQ'
                      expected, actual)
                                ^
testing/gtest/include/gtest/gtest_pred_impl.h:166:40: note: expanded from macro
'ASSERT_PRED_FORMAT2'
  GTEST_PRED_FORMAT2_(pred_format, v1, v2, GTEST_FATAL_FAILURE_)
                                       ^
testing/gtest/include/gtest/gtest_pred_impl.h:147:43: note: expanded from macro
'GTEST_PRED_FORMAT2_'
  GTEST_ASSERT_(pred_format(#v1, #v2, v1, v2), \
                                          ^
testing/gtest/include/gtest/gtest_pred_impl.h:77:52: note: expanded from macro
'GTEST_ASSERT_'
  if (const ::testing::AssertionResult gtest_ar = (expression)) \
                                                   ^
2 errors generated.
mod_spdy/spdy_apache_test.target.mk:134: recipe for target
'out/Release/obj.target/spdy_apache_test/mod_spdy/apache/sockaddr_util_test.o'
failed
gmake: ***
[out/Release/obj.target/spdy_apache_test/mod_spdy/apache/sockaddr_util_test.o]
Error 1
gmake: *** Waiting for unfinished jobs....
===> Compilation failed unexpectedly.
Try to set MAKE_JOBS_UNSAFE=yes and rebuild before reporting the failure to
the maintainer.
*** [do-⁠build] Error code 1

Stop in /⁠usr/⁠ports/⁠www/⁠mod_spdy.
*** [stage] Error code 1

Stop in /⁠usr/⁠ports/⁠www/⁠mod_spdy.

-- 
You are receiving this mail because:
You are the assignee for the bug.


More information about the freebsd-ports-bugs mailing list