[package - 93amd64-default][devel/ice] Failed for Ice-3.6.0_1 in stage/runaway

pkg-fallout at FreeBSD.org pkg-fallout at FreeBSD.org
Fri Dec 18 01:11:50 UTC 2015


You are receiving this mail as a port that you maintain
is failing to build on the FreeBSD package build server.
Please investigate the failure and submit a PR to fix
build.

Maintainer:     freebsd at grem.de
Last committer: grembo at FreeBSD.org
Ident:          $FreeBSD: head/devel/ice/Makefile 397092 2015-09-16 23:38:56Z grembo $
Log URL:        http://beefy2.nyi.freebsd.org/data/93amd64-default/403889/logs/Ice-3.6.0_1.log
Build URL:      http://beefy2.nyi.freebsd.org/build.html?mastername=93amd64-default&build=403889
Log:

====>> Building devel/ice
build started at Thu Dec 17 22:07:39 UTC 2015
port directory: /usr/ports/devel/ice
building for: FreeBSD 93amd64-default-job-13 9.3-RELEASE-p32 FreeBSD 9.3-RELEASE-p32 amd64
maintained by: freebsd at grem.de
Makefile ident:      $FreeBSD: head/devel/ice/Makefile 397092 2015-09-16 23:38:56Z grembo $
Poudriere version: 3.1.10
Host OSVERSION: 1100085
Jail OSVERSION: 903000

---Begin Environment---
SHELL=/bin/csh
OSVERSION=903000
UNAME_v=FreeBSD 9.3-RELEASE-p32
UNAME_r=9.3-RELEASE-p32
BLOCKSIZE=K
MAIL=/var/mail/root
STATUS=1
SAVED_TERM=
MASTERMNT=/usr/local/poudriere/data/.m/93amd64-default/ref
PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/games:/usr/local/sbin:/usr/local/bin:/root/bin
POUDRIERE_BUILD_TYPE=bulk
PKGNAME=Ice-3.6.0_1
OLDPWD=/
PWD=/usr/local/poudriere/data/.m/93amd64-default/ref/.p/pool
MASTERNAME=93amd64-default
SCRIPTPREFIX=/usr/local/share/poudriere
USER=root
HOME=/root
POUDRIERE_VERSION=3.1.10
SCRIPTPATH=/usr/local/share/poudriere/bulk.sh
LIBEXECPREFIX=/usr/local/libexec/poudriere
LOCALBASE=/usr/local
PACKAGE_BUILDING=yes
---End Environment---

---Begin OPTIONS List---
===> The following configuration options are available for Ice-3.6.0_1:
     DEBUG=off: Build with debugging support
     TESTS=on: Build and run tests (requires lang/python)
===> Use 'make config' to modify these settings
---End OPTIONS List---

--CONFIGURE_ARGS--

--End CONFIGURE_ARGS--

--CONFIGURE_ENV--
MAKE=gmake PYTHON="/usr/local/bin/python2.7" XDG_DATA_HOME=/wrkdirs/usr/ports/devel/ice/work  XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/ice/work  HOME=/wrkdirs/usr/ports/devel/ice/work TMPDIR="/tmp" SHELL=/bin/sh CONFIG_SHELL=/bin/sh
--End CONFIGURE_ENV--

--MAKE_ENV--
LP64=yes LD_LIBRARY_PATH=/wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/lib:$LD_LIBRARY_PATH OPENSSLBASE=/usr OPENSSLDIR=/etc/ssl OPENSSLINC=/usr/include OPENSSLLIB=/usr/lib XDG_DATA_HOME=/wrkdirs/usr/ports/devel/ice/work  XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/ice/work  HOME=/wrkdirs/usr/ports/devel/ice/work TMPDIR="/tmp" NO_PIE=yes NO_DEBUG_FILES=yes SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local  LOCALBASE=/usr/local  LIBDIR="/usr/lib"  CC="cc" CFLAGS="-O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -fstack-protector -fno-strict-aliasing"  CPP="cpp" CPPFLAGS=""  LDFLAGS="  -fstack-protector" LIBS=""  CXX="c++" CXXFLAGS="-O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -fstack-protector -fno-strict-aliasing"  MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install  -s -m 555"  BSD_INSTALL_LIB="install  -s -m 444"  BSD_INSTALL_SCRIPT="install  -m 555"  BSD_INSTALL_DATA="install  -m 0644"  BSD_INSTALL_
 MAN="install  -m 444"
--End MAKE_ENV--

--PLIST_SUB--
PYTHON_INCLUDEDIR=include/python2.7
PYTHON_LIBDIR=lib/python2.7
PYTHON_PLATFORM=freebsd9
PYTHON_SITELIBDIR=lib/python2.7/site-packages
PYTHON_VERSION=python2.7
PYTHON_VER=2.7
PYTHON32="@comment
"
PYTHONPRE32=""
PYTHON_SUFFIX=27
NAMESPACES="@comment
"
NONAMESPACES=""
OSREL=9.3
PREFIX=%D
LOCALBASE=/usr/local
RESETPREFIX=/usr/local
PORTDOCS=""
PORTEXAMPLES=""
LIB32DIR=lib
DOCSDIR="share/doc/Ice"
EXAMPLESDIR="share/examples/Ice"
DATADIR="share/Ice"
WWWDIR="www/Ice"
ETCDIR="etc/Ice"
--End PLIST_SUB--

--SUB_LIST--
PREFIX=/usr/local
LOCALBASE=/usr/local
DATADIR=/usr/local/share/Ice
DOCSDIR=/usr/local/share/doc/Ice
EXAMPLESDIR=/usr/local/share/examples/Ice
WWWDIR=/usr/local/www/Ice
ETCDIR=/usr/local/etc/Ice
--End SUB_LIST--

---Begin make.conf---
USE_PACKAGE_DEPENDS=yes
BATCH=yes
WRKDIRPREFIX=/wrkdirs
PORTSDIR=/usr/ports
PACKAGES=/packages
DISTDIR=/distfiles
#### /usr/local/etc/poudriere.d/make.conf ####
DISABLE_MAKE_JOBS=poudriere
---End make.conf---
=======================<phase: check-sanity   >============================
===>  License GPLv2 accepted by the user
===========================================================================
=======================<phase: pkg-depends    >============================
===>   Ice-3.6.0_1 depends on file: /usr/local/sbin/pkg - not found
===>   Installing existing package /packages/All/pkg-1.6.2.txz
[93amd64-default-job-13] Installing pkg-1.6.2...
[93amd64-default-job-13] Extracting pkg-1.6.2: .......... done
Message from pkg-1.6.2:
If you are upgrading from the old package format, first run:

  # pkg2ng
===>   Ice-3.6.0_1 depends on file: /usr/local/sbin/pkg - found
===>   Returning to build of Ice-3.6.0_1
===========================================================================
=======================<phase: fetch-depends  >============================
===========================================================================
=======================<phase: fetch          >============================
===>  License GPLv2 accepted by the user
===> Fetching all distfiles required by Ice-3.6.0_1 for building
===========================================================================
=======================<phase: checksum       >============================
===>  License GPLv2 accepted by the user
===> Fetching all distfiles required by Ice-3.6.0_1 for building
=> SHA256 Checksum OK for zeroc-ice-Ice-v3.6.0_GH0.tar.gz.
===========================================================================
=======================<phase: extract-depends>============================
===========================================================================
=======================<phase: extract        >============================
===>  License GPLv2 accepted by the user
===> Fetching all distfiles required by Ice-3.6.0_1 for building
===>  Extracting for Ice-3.6.0_1
=> SHA256 Checksum OK for zeroc-ice-Ice-v3.6.0_GH0.tar.gz.
===========================================================================
=======================<phase: patch-depends  >============================
===========================================================================
=======================<phase: patch          >============================
===>  Patching for Ice-3.6.0_1
===>  Applying FreeBSD patches for Ice-3.6.0_1
===========================================================================
=======================<phase: build-depends  >============================
===>   Ice-3.6.0_1 depends on package: py27-passlib>0 - not found
===>   Installing existing package /packages/All/py27-passlib-1.6.2.txz
[93amd64-default-job-13] Installing py27-passlib-1.6.2...
[93amd64-default-job-13] `-- Installing python27-2.7.10_1...
[93amd64-default-job-13] |   `-- Installing libffi-3.2.1...
[93amd64-default-job-13] |   | `-- Installing indexinfo-0.2.4...
[93amd64-default-job-13] |   | `-- Extracting indexinfo-0.2.4: .... done
[93amd64-default-job-13] |   `-- Extracting libffi-3.2.1: .......... done
[93amd64-default-job-13] |   `-- Installing gettext-runtime-0.19.6...
[93amd64-default-job-13] |   | `-- Installing libiconv-1.14_9...
[93amd64-default-job-13] |   | `-- Extracting libiconv-1.14_9: .......... done
[93amd64-default-job-13] |   `-- Extracting gettext-runtime-0.19.6: .......... done
[93amd64-default-job-13] `-- Extracting python27-2.7.10_1: .......... done
[93amd64-default-job-13] `-- Installing py27-setuptools27-18.7...
[93amd64-default-job-13] `-- Extracting py27-setuptools27-18.7: .......... done
[93amd64-default-job-13] Extracting py27-passlib-1.6.2: .......... done
Message from python27-2.7.10_1:
===========================================================================

Note that some standard Python modules are provided as separate ports
as they require additional dependencies. They are available as:

bsddb           databases/py-bsddb
gdbm            databases/py-gdbm
sqlite3         databases/py-sqlite3
tkinter         x11-toolkits/py-tkinter

===========================================================================
===>   Ice-3.6.0_1 depends on package: py27-passlib>0 - found
===>   Returning to build of Ice-3.6.0_1
===>   Ice-3.6.0_1 depends on executable: gmake - not found
===>   Installing existing package /packages/All/gmake-4.1_2.txz
[93amd64-default-job-13] Installing gmake-4.1_2...
[93amd64-default-job-13] Extracting gmake-4.1_2: .......... done
===>   Ice-3.6.0_1 depends on executable: gmake - found
===>   Returning to build of Ice-3.6.0_1
===>   Ice-3.6.0_1 depends on file: /usr/local/bin/python2.7 - found
===========================================================================
=======================<phase: lib-depends    >============================
===>   Ice-3.6.0_1 depends on shared library: libexpat.so - not found
===>   Installing existing package /packages/All/expat-2.1.0_3.txz
[93amd64-default-job-13] Installing expat-2.1.0_3...
[93amd64-default-job-13] Extracting expat-2.1.0_3: .......... done
===>   Ice-3.6.0_1 depends on shared library: libexpat.so - found (/usr/local/lib/libexpat.so)
===>   Returning to build of Ice-3.6.0_1
===>   Ice-3.6.0_1 depends on shared library: libmcpp.so - not found
===>   Installing existing package /packages/All/mcpp-2.7.2_2.txz
[93amd64-default-job-13] Installing mcpp-2.7.2_2...
[93amd64-default-job-13] Extracting mcpp-2.7.2_2: .......... done
===>   Ice-3.6.0_1 depends on shared library: libmcpp.so - found (/usr/local/lib/libmcpp.so)
===>   Returning to build of Ice-3.6.0_1
===>   Ice-3.6.0_1 depends on shared library: libiconv.so - found (/usr/local/lib/libiconv.so)
===>   Ice-3.6.0_1 depends on shared library: libdb-5.3.so - not found
===>   Installing existing package /packages/All/db5-5.3.28_2.txz
[93amd64-default-job-13] Installing db5-5.3.28_2...
<snip>
starting icestorm services... ok
Sending 20000 unordered events with erratic subscriber... ok
Sending 20000 unordered events with erratic subscriber across a link... ok
shutting down icestorm services... ok

*** running tests 65/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceStorm/rep1
*** configuration: Default 
*** test started: 12/17/15 23:05:12
*** using Ice source dist (64bit) 
starting icestorm replicas... 0 1 2 ok
testing topic creation across replicas... ok
testing topic destruction across replicas... ok
testing topic creation without replica... ok
testing topic creation without master... ok
testing topic destruction without replica... ok
testing topic destruction without master... ok
testing subscription across replicas... ok
testing unsubscription across replicas... ok
testing subscription without master... ok
testing unsubscription without master... ok
testing subscription without replica... ok
testing unsubscription without replica... ok
running twoway subscription test... ok
running ordered subscription test... ok
running twoway, ordered subscription test without master... ok
running twoway, ordered subscription test without replica... ok
running cycle publishing test... ok
stopping replicas... ok

*** running tests 66/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceStorm/repgrid
*** configuration: Default 
*** test not supported under FreeBSD

*** running tests 67/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceStorm/repstress
*** configuration: Default 
*** test started: 12/17/15 23:05:51
*** using Ice source dist (64bit) 
starting icestorm replicas... 0 1 2 ok
creating topic... ok
running subscriber... ok
running publisher... ok
stopping replica 2 (0, 1 running)... ok
starting 2, stopping 0 (1, 2 running)... ok
starting 0, stopping 1 (0, 2 running)... ok
starting 1 (all running)... ok
stopping replica 2 (0, 1 running)... ok
starting 2, stopping 0 (1, 2 running)... ok
starting 0, stopping 1 (0, 2 running)... ok
starting 1 (all running)... ok
stopping replica 2 (0, 1 running)... ok
starting 2, stopping 0 (1, 2 running)... ok
starting 0, stopping 1 (0, 2 running)... ok
starting 1 (all running)... ok
stopping publisher... ok
stopping replicas... ok
stopping subscriber... ok
publisher published 5015 events, subscriber received 5015 events

*** running tests 68/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/FreezeScript/dbmap
*** configuration: Default 
*** test started: 12/17/15 23:06:24
*** using Ice source dist (64bit) 
testing error detection... ok
creating test database... ok
initializing test database... ok
executing default transformations... ok
validating database... ok

*** running tests 69/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/FreezeScript/evictor
*** configuration: Default 
*** test started: 12/17/15 23:06:36
*** using Ice source dist (64bit) 
creating test database... ok
executing evictor transformations... ok
validating database... ok

*** running tests 70/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceDiscovery/simple
*** configuration: Default 
*** test not supported within a FreeBSD Jail

*** running tests 71/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceGrid/simple
*** configuration: Default 
*** test started: 12/17/15 23:06:36
*** using Ice source dist (64bit) 
starting icegrid registry... ok
starting icegrid replica-1... ok
starting icegrid replica-2... ok
starting server... ok
starting client... ok
testing stringToProxy... ok
testing IceGrid.Locator is present... ok
testing checked cast... ok
pinging server... ok
testing locator finder... ok
testing discovery... failed (is a firewall enabled?)
shutting down server... ok
shutting down icegrid replica-2... ok
shutting down icegrid replica-1... ok
shutting down icegrid registry... ok
starting icegrid registry... ok
starting icegrid replica-1... ok
starting icegrid replica-2... ok
starting icegrid node... ok
adding application... ok
starting client... ok
testing stringToProxy... ok
testing checked cast... ok
pinging server... ok
testing encoding versioning... ok
testing reference with unknown identity... ok
testing reference with unknown adapter... ok
testing whether server is still reachable... ok
remove application... ok
shutting down icegrid node... ok
shutting down icegrid replica-2... ok
shutting down icegrid replica-1... ok
shutting down icegrid registry... ok

*** running tests 72/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceGrid/fileLock
*** configuration: Default 
*** test started: 12/17/15 23:06:40
*** using Ice source dist (64bit) 
starting icegrid registry... ok
testing IceGrid file lock... ok
shutting down icegrid registry... ok

*** running tests 73/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceGrid/deployer
*** configuration: Default 
*** test started: 12/17/15 23:06:41
*** using Ice source dist (64bit) 
starting icegrid registry... ok
starting icegrid node... ok
adding application... ok
starting client... ok
testing server registration... ok
testing adapter registration... ok
testing object registration... ok
pinging server objects... ok
testing server configuration... ok
testing service configuration... ok
testing server options... ok
testing variables... ok
testing parameters... ok
testing descriptions... ok
testing property sets...ok
testing validation... ok
testing stderr/stdout/log files... ok
remove application... ok
shutting down icegrid node... ok
shutting down icegrid registry... ok
starting icegrid registry... ok
starting icegrid node... ok
adding application... ok
starting client... ok
testing targets... ok
remove application... ok
shutting down icegrid node... ok
shutting down icegrid registry... ok

*** running tests 74/93 in /wrkdirs/usr/ports/devel/ice/work/ice-3.6.0/cpp/test/IceGrid/session
*** configuration: Default 
*** test started: 12/17/15 23:06:47
*** using Ice source dist (64bit) 
Running test with default encoding...
starting admin permissions verifier... ok
starting icegrid registry... ok
starting icegrid node... ok
adding application... ok
starting client... ok
starting router... ok
starting admin router... ok
testing username/password sessions... ok
testing sessions from secure connection... ok
testing Glacier2 username/password sessions... ok
testing Glacier2 sessions from secure connection... ok
testing updates with admin sessions... ok
testing application observer... ok
testing adapter observer... ok
testing object observer... ok
testing node observer... ok
testing registry observer... ok
testing observer with direct proxy... ok
testing observer with indirect proxy... ok
shutting down admin router... ok
shutting down router... ok
remove application... ok
shutting down icegrid node... ok
shutting down icegrid registry... ok
Running test with 1.0 encoding...
starting admin permissions verifier... ok
starting icegrid registry... ok
starting icegrid node... ok
adding application... ok
starting client... ok
starting router... ok
starting admin router... !! 12/17/15 23:06:51.306 Glacier2: error: service caught unhandled exception:
   Network.cpp:2061: Ice::SocketException:
   socket exception: Address already in use
ok
====>> Killing runaway build after 7200 seconds with no output


More information about the freebsd-pkg-fallout mailing list