From nobody Mon Mar 18 11:41:16 2024 X-Original-To: pkg-fallout@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id 4TytGh4Bw1z5DYRG for ; Mon, 18 Mar 2024 11:41:16 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4TytGh2MJRz40t9 for ; Mon, 18 Mar 2024 11:41:16 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) ARC-Seal: i=1; s=dkim; d=freebsd.org; t=1710762076; a=rsa-sha256; cv=none; b=LzFpGQKRs9qzz0C8q7qrGKr/q4/g44OYABM/fHn1DSdjJANB/3b0WhbMBWu8E6KcYuIeMM 3/97C3tYpwjpvtq0gD6upcAI69GKrrgVHaOzf/PEErhCevox4QyjDvrzGUhG//7SOh0eu0 CqtcwHChRw1o/mL2SjqW+JD8G2ubkERo4rIZRpR9Ggcb1W/pNC3oZQAIn2rcSK2g2I1QuC FWd+B9QVb1OpRIKleeQ63mDsqvuPbd2fkY9JuOYlyenoeek2G0ekh5VvMoxNcUYORFVIRT KaFr8SJ44g3M2ec0ABQCgo/lZXXMZdIwrCkVLo35CLiynOg+QEGLZv2oclMUWA== ARC-Authentication-Results: i=1; mx1.freebsd.org; none ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=freebsd.org; s=dkim; t=1710762076; h=from:from:reply-to:subject:subject:date:date:message-id:message-id: to:to:cc:cc; bh=4tJuinwK6kz+PtbyVBq4luwvTyhcSMcmsCNYVU4gVTg=; b=hRyoCwkwLisNi4IMfugTgPhBbTyRQduAEZmiKKAoj26GxD0oqL7RHBuY2OYi1wYP1z0sGO fgabCyD+coQZYq237mq0S3MwqM6qcPOpRaR0C6m1sNU6fh6667AwXIpsPzDYNDfxLGfdI1 Xxy3XPZd7+Vp18YaX6W/OrOK4zkkSjYx0QINP22vjhoejurSSAoVvjz073QBryClxxZ7RO iPlNNKkcEKgk6PuhEoC9MSvl9CDW0H24i/7J8AyEk+qzTN+xWU6EJUgct5S9/qtkwPbDir hZhRTlIce/RiWYR9C37gvtT4WL2OgtBJBQ+Vl3r0bkz2vvNMwDDJCfReVMZeMw== Received: from beefy12.nyi.freebsd.org (beefy12.nyi.freebsd.org [IPv6:2610:1c1:1:6080::16:1b]) by mxrelay.nyi.freebsd.org (Postfix) with ESMTP id 4TytGh1yZ2zM0t for ; Mon, 18 Mar 2024 11:41:16 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from root (uid 0) (envelope-from pkg-fallout@FreeBSD.org) id 96f2 by beefy12.nyi.freebsd.org (DragonFly Mail Agent v0.13+ on beefy12.nyi.freebsd.org); Mon, 18 Mar 2024 11:41:16 +0000 To: nsonack@outlook.com Subject: [package - 140amd64-default][cad/yosys-ghdl-plugin] Failed for yosys-ghdl-plugin-g20230930 in lib-depends Cc: pkg-fallout@FreeBSD.org Date: Mon, 18 Mar 2024 11:41:16 +0000 Message-Id: <65f8285c.96f2.389e5267@beefy12.nyi.freebsd.org> From: List-Id: Fallout logs from package building List-Archive: https://lists.freebsd.org/archives/freebsd-pkg-fallout List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-freebsd-pkg-fallout@freebsd.org You are receiving this mail as a port that you maintain is failing to build on the FreeBSD package build server. Please investigate the failure and submit a PR to fix build. Maintainer: nsonack@outlook.com Log URL: https://pkg-status.freebsd.org/beefy12/data/140amd64-default/d5512ae7b8c6/logs/yosys-ghdl-plugin-g20230930.log Build URL: https://pkg-status.freebsd.org/beefy12/build.html?mastername=140amd64-default&build=d5512ae7b8c6 Log: =>> Building cad/yosys-ghdl-plugin build started at Mon Mar 18 11:40:52 UTC 2024 port directory: /usr/ports/cad/yosys-ghdl-plugin package name: yosys-ghdl-plugin-g20230930 building for: FreeBSD 140amd64-default-job-05 14.0-RELEASE-p5 FreeBSD 14.0-RELEASE-p5 amd64 maintained by: nsonack@outlook.com Makefile datestamp: -rw-r--r-- 1 root wheel 771 Oct 24 01:02 /usr/ports/cad/yosys-ghdl-plugin/Makefile Ports top last git commit: d5512ae7b8 Ports top unclean checkout: no Port dir last git commit: 7e4f2be406 Port dir unclean checkout: no Poudriere version: poudriere-git-3.4.1-1-g1e9f97d6 Host OSVERSION: 1500006 Jail OSVERSION: 1400097 Job Id: 05 ---Begin Environment--- SHELL=/bin/sh OSVERSION=1400097 UNAME_v=FreeBSD 14.0-RELEASE-p5 UNAME_r=14.0-RELEASE-p5 BLOCKSIZE=K MAIL=/var/mail/root MM_CHARSET=UTF-8 LANG=C.UTF-8 STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin MAKE_OBJDIR_CHECK_WRITABLE=0 LOCALBASE=/usr/local USER=root POUDRIERE_NAME=poudriere-git LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.4.1-1-g1e9f97d6 MASTERMNT=/usr/local/poudriere/data/.m/140amd64-default/ref LC_COLLATE=C POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM= OUTPUT_REDIRECTED_STDERR=4 OUTPUT_REDIRECTED=1 PWD=/usr/local/poudriere/data/.m/140amd64-default/05/.p OUTPUT_REDIRECTED_STDOUT=3 P_PORTS_FEATURES=FLAVORS SUBPACKAGES SELECTED_OPTIONS MASTERNAME=140amd64-default SCRIPTPREFIX=/usr/local/share/poudriere SCRIPTNAME=bulk.sh OLDPWD=/usr/local/poudriere/data/.m/140amd64-default/ref/.p/pool POUDRIERE_PKGNAME=poudriere-git-3.4.1-1-g1e9f97d6 SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ---End OPTIONS List--- --MAINTAINER-- nsonack@outlook.com --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- MAKE=gmake XDG_DATA_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.cache HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig SHELL=/bin/sh CONFIG_SHELL=/bin/sh --End CONFIGURE_ENV-- --MAKE_ENV-- GHDL=/usr/local/bin/ghdl YOSYS_CONFIG=/usr/local/bin/yosys-config XDG_DATA_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.cache HOME=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/cad/yosys-ghdl-plugin/work/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " CPP="cpp" CPPFLAGS="-I/usr/local/include" LDFLAGS=" -L/usr/local/lib -fstack-protector-strong " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " BSD_INSTALL_PROG RAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- OSREL=14.0 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/yosys-ghdl-plugin" EXAMPLESDIR="share/examples/yosys-ghdl-plugin" DATADIR="share/yosys-ghdl-plugin" WWWDIR="www/yosys-ghdl-plugin" ETCDIR="etc/yosys-ghdl-plugin" --End PLIST_SUB-- --SUB_LIST-- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/yosys-ghdl-plugin DOCSDIR=/usr/local/share/doc/yosys-ghdl-plugin EXAMPLESDIR=/usr/local/share/examples/yosys-ghdl-plugin WWWDIR=/usr/local/www/yosys-ghdl-plugin ETCDIR=/usr/local/etc/yosys-ghdl-plugin --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### #### # XXX: We really need this but cannot use it while 'make checksum' does not # try the next mirror on checksum failure. It currently retries the same # failed mirror and then fails rather then trying another. It *does* # try the next if the size is mismatched though. #MASTER_SITE_FREEBSD=yes # Build ALLOW_MAKE_JOBS_PACKAGES with 3 jobs MAKE_JOBS_NUMBER=3 #### Misc Poudriere #### .include "/etc/make.conf.ports_env" GID=0 UID=0 ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 8192 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License GPLv3 accepted by the user =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> yosys-ghdl-plugin-g20230930 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.20.9_1.pkg [140amd64-default-job-05] Installing pkg-1.20.9_1... [140amd64-default-job-05] Extracting pkg-1.20.9_1: .......... done ===> yosys-ghdl-plugin-g20230930 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of yosys-ghdl-plugin-g20230930 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License GPLv3 accepted by the user ===> Fetching all distfiles required by yosys-ghdl-plugin-g20230930 for building =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License GPLv3 accepted by the user ===> Fetching all distfiles required by yosys-ghdl-plugin-g20230930 for building => SHA256 Checksum OK for ghdl-ghdl-yosys-plugin-g20230930-d44a7bccdaa458ab3ec0ce83459410604bee6c60_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License GPLv3 accepted by the user ===> Fetching all distfiles required by yosys-ghdl-plugin-g20230930 for building ===> Extracting for yosys-ghdl-plugin-g20230930 => SHA256 Checksum OK for ghdl-ghdl-yosys-plugin-g20230930-d44a7bccdaa458ab3ec0ce83459410604bee6c60_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> Patching for yosys-ghdl-plugin-g20230930 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> yosys-ghdl-plugin-g20230930 depends on package: ghdl>0 - not found ===> Installing existing package /packages/All/ghdl-4.0.0.pkg [140amd64-default-job-05] Installing ghdl-4.0.0... [140amd64-default-job-05] `-- Installing gnat12-12.2.0_7... [140amd64-default-job-05] | `-- Installing binutils-2.40_5,1... [140amd64-default-job-05] | | `-- Installing gettext-runtime-0.22.3_1... [140amd64-default-job-05] | | `-- Installing indexinfo-0.3.1... [140amd64-default-job-05] | | `-- Extracting indexinfo-0.3.1: .... done [140amd64-default-job-05] | | `-- Extracting gettext-runtime-0.22.3_1: .......... done [140amd64-default-job-05] | | `-- Installing zstd-1.5.5_1... [140amd64-default-job-05] | | `-- Installing liblz4-1.9.4_1,1... [140amd64-default-job-05] | | `-- Extracting liblz4-1.9.4_1,1: .......... done [140amd64-default-job-05] | | `-- Extracting zstd-1.5.5_1: .......... done [140amd64-default-job-05] | `-- Extracting binutils-2.40_5,1: .......... done [140amd64-default-job-05] | `-- Installing gmp-6.3.0... [140amd64-default-job-05] | `-- Extracting gmp-6.3.0: .......... done [140amd64-default-job-05] | `-- Installing isl-0.26... [140amd64-default-job-05] | `-- Extracting isl-0.26: .......... done [140amd64-default-job-05] | `-- Installing mpc-1.3.1_1... [140amd64-default-job-05] | | `-- Installing mpfr-4.2.1,1... [140amd64-default-job-05] | | `-- Extracting mpfr-4.2.1,1: .......... done [140amd64-default-job-05] | `-- Extracting mpc-1.3.1_1: ......... done [140amd64-default-job-05] `-- Extracting gnat12-12.2.0_7: .......... done [140amd64-default-job-05] `-- Installing llvm15-15.0.7_10... [140amd64-default-job-05] | `-- Installing libedit-3.1.20230828_1,1... [140amd64-default-job-05] | `-- Extracting libedit-3.1.20230828_1,1: .......... done [140amd64-default-job-05] | `-- Installing lua53-5.3.6_1... [140amd64-default-job-05] | | | `-- Installing p11-kit-0.25.3_1... [140amd64-default-job-05] | | | `-- Extracting p11-kit-0.25.3_1: .......... done [140amd64-default-job-05] | | `-- Extracting gnutls-3.7.10_2: .......... done [140amd64-default-job-05] | | `-- Installing libpaper-1.1.28_1... [140amd64-default-job-05] | | `-- Extracting libpaper-1.1.28_1: .......... done ===> Creating groups. Creating group 'cups' with gid '193'. ===> Creating users Creating user 'cups' with uid '193'. [140amd64-default-job-05] | | `-- Extracting cups-2.4.7_2: .......... done [140amd64-default-job-05] | | `-- Installing gsettings-desktop-schemas-42.0... [140amd64-default-job-05] | | `-- Extracting gsettings-desktop-schemas-42.0: .......... done [140amd64-default-job-05] | | `-- Installing hicolor-icon-theme-0.17... [140amd64-default-job-05] | | `-- Extracting hicolor-icon-theme-0.17: . done [140amd64-default-job-05] | | `-- Installing libXcomposite-0.4.6_1,1... [140amd64-default-job-05] | | `-- Extracting libXcomposite-0.4.6_1,1: .......... done [140amd64-default-job-05] | | `-- Installing libXcursor-1.2.2... [140amd64-default-job-05] | | `-- Extracting libXcursor-1.2.2: .......... done [140amd64-default-job-05] | | `-- Installing libXdamage-1.1.6... [140amd64-default-job-05] | | `-- Extracting libXdamage-1.1.6: ......... done [140amd64-default-job-05] | | `-- Installing libXinerama-1.1.4_3,1... [140amd64-default-job-05] | | `-- Extracting libXinerama-1.1.4_3,1: .......... done [140amd64-default-job-05] | | `-- Installing libXrandr-1.5.2_1... [140amd64-default-job-05] | | `-- Extracting libXrandr-1.5.2_1: .......... done [140amd64-default-job-05] | | `-- Installing libepoxy-1.5.9... [140amd64-default-job-05] | | `-- Extracting libepoxy-1.5.9: .......... done [140amd64-default-job-05] | | `-- Installing librsvg2-rust-2.56.4_5... [140amd64-default-job-05] | | `-- Extracting librsvg2-rust-2.56.4_5: .......... done [140amd64-default-job-05] | | `-- Installing libxkbcommon-1.6.0_2... [140amd64-default-job-05] | | `-- Installing wayland-1.22.0... [140amd64-default-job-05] | | | `-- Installing libepoll-shim-0.0.20230411... [140amd64-default-job-05] | | | `-- Extracting libepoll-shim-0.0.20230411: .......... done [140amd64-default-job-05] | | `-- Extracting wayland-1.22.0: .......... done [140amd64-default-job-05] | | `-- Installing xkeyboard-config-2.41_4... [140amd64-default-job-05] | | `-- Extracting xkeyboard-config-2.41_4: .......... done [140amd64-default-job-05] | | `-- Extracting libxkbcommon-1.6.0_2: .......... done [140amd64-default-job-05] | `-- Extracting gtk3-3.24.41: .......... done [140amd64-default-job-05] | `-- Installing py39-gobject3-3.42.2... [140amd64-default-job-05] | | `-- Installing gobject-introspection-1.78.1_2,1... [140amd64-default-job-05] | | `-- Extracting gobject-introspection-1.78.1_2,1: .......... done [140amd64-default-job-05] | | `-- Installing py39-cairo-1.21.0,1... [140amd64-default-job-05] | | `-- Extracting py39-cairo-1.21.0,1: .......... done [140amd64-default-job-05] | | `-- Installing pygobject3-common-3.42.2... [140amd64-default-job-05] | | `-- Extracting pygobject3-common-3.42.2: ..... done [140amd64-default-job-05] | `-- Extracting py39-gobject3-3.42.2: .......... done [140amd64-default-job-05] | `-- Installing py39-numpy-1.25.0_6,1... [140amd64-default-job-05] | | `-- Installing gcc13-13.2.0_4... [140amd64-default-job-05] | | `-- Extracting gcc13-13.2.0_4: .......... done [140amd64-default-job-05] | | `-- Installing openblas-0.3.25,2... [140amd64-default-job-05] | | `-- Extracting openblas-0.3.25,2: .......... done [140amd64-default-job-05] | | `-- Installing py39-setuptools-63.1.0_1... [140amd64-default-job-05] | | `-- Extracting py39-setuptools-63.1.0_1: .......... done [140amd64-default-job-05] | | `-- Installing suitesparse-umfpack-6.3.2... [140amd64-default-job-05] | | `-- Installing suitesparse-amd-3.3.1... [140amd64-default-job-05] | | | `-- Installing suitesparse-config-7.6.1... [140amd64-default-job-05] | | | `-- Extracting suitesparse-config-7.6.1: .......... done [140amd64-default-job-05] | | `-- Extracting suitesparse-amd-3.3.1: .......... done [140amd64-default-job-05] | | `-- Installing suitesparse-camd-3.3.1... [140amd64-default-job-05] | | `-- Extracting suitesparse-camd-3.3.1: .......... done [140amd64-default-job-05] | | `-- Installing suitesparse-ccolamd-3.3.2... [140amd64-default-job-05] | | `-- Extracting suitesparse-ccolamd-3.3.2: .......... done [140amd64-default-job-05] | | `-- Installing suitesparse-cholmod-5.2.0... [140amd64-default-job-05] | | | `-- Installing suitesparse-colamd-3.3.2... [140amd64-default-job-05] | | | `-- Extracting suitesparse-colamd-3.3.2: .......... done [140amd64-default-job-05] | | `-- Extracting suitesparse-cholmod-5.2.0: .......... done [140amd64-default-job-05] | | `-- Extracting suitesparse-umfpack-6.3.2: .......... done [140amd64-default-job-05] | `-- Extracting py39-numpy-1.25.0_6,1: .......... done [140amd64-default-job-05] `-- Extracting py39-xdot-1.2_4: .......... done [140amd64-default-job-05] `-- Installing tcl86-8.6.14_1... [140amd64-default-job-05] `-- Extracting tcl86-8.6.14_1: .......... done [140amd64-default-job-05] `-- Installing yices-2.6.4... [140amd64-default-job-05] `-- Extracting yices-2.6.4: .......... done [140amd64-default-job-05] Extracting yosys-0.39: .......... done ==> Running trigger: fontconfig.ucl Running fc-cache to build fontconfig cache... ==> Running trigger: gdk-pixbuf-query-loaders.ucl Generating gdk-pixbuf modules cache ==> Running trigger: gio-modules.ucl Generating GIO modules cache ==> Running trigger: gtk-update-icon-cache.ucl Generating GTK icon cache for /usr/local/share/icons/hicolor Generating GTK icon cache for /usr/local/share/icons/Adwaita ==> Running trigger: glib-schemas.ucl Compiling glib schemas ==> Running trigger: shared-mime-info.ucl Building the Shared MIME-Info database cache ===== Message from freetype2-2.13.2: -- The 2.7.x series now uses the new subpixel hinting mode (V40 port's option) as the default, emulating a modern version of ClearType. This change inevitably leads to different rendering results, and you might change port's options to adapt it to your taste (or use the new "FREETYPE_PROPERTIES" environment variable). The environment variable "FREETYPE_PROPERTIES" can be used to control the driver properties. Example: FREETYPE_PROPERTIES=truetype:interpreter-version=35 \ cff:no-stem-darkening=1 \ autofitter:warping=1 This allows to select, say, the subpixel hinting mode at runtime for a given application. If LONG_PCF_NAMES port's option was enabled, the PCF family names may include the foundry and information whether they contain wide characters. For example, "Sony Fixed" or "Misc Fixed Wide", instead of "Fixed". This can be disabled at run time with using pcf:no-long-family-names property, if needed. Example: FREETYPE_PROPERTIES=pcf:no-long-family-names=1 How to recreate fontconfig cache with using such environment variable, if needed: # env FREETYPE_PROPERTIES=pcf:no-long-family-names=1 fc-cache -fsv The controllable properties are listed in the section "Controlling FreeType Modules" in the reference's table of contents (/usr/local/share/doc/freetype2/reference/index.html, if documentation was installed). ===== Message from dejavu-2.37_3: -- Make sure that the freetype module is loaded. If it is not, add the following line to the "Modules" section of your X Windows configuration file: Load "freetype" Add the following line to the "Files" section of X Windows configuration file: FontPath "/usr/local/share/fonts/dejavu/" Note: your X Windows configuration file is typically /etc/X11/XF86Config if you are using XFree86, and /etc/X11/xorg.conf if you are using X.Org. ===== Message from duktape-lib-2.7.0: -- ===> NOTICE: The duktape-lib port currently does not have a maintainer. As a result, it is more likely to have unresolved issues, not be up-to-date, or even be removed in the future. To volunteer to maintain this port, please create an issue at: https://bugs.freebsd.org/bugzilla More information about port maintainership is available at: https://docs.freebsd.org/en/articles/contributing/#ports-contributing ===== Message from wayland-1.22.0: -- Wayland requires XDG_RUNTIME_DIR to be defined to a path that will contain "wayland-%d" unix(4) sockets. This is usually handled by consolekit2 (via ck-launch-session) or pam_xdg (via login). ===== Message from libxkbcommon-1.6.0_2: -- If arrow keys don't work under X11 switch to legacy rules e.g., For sh/bash/ksh/zsh run and (optionally) add into ~/.profile: export XKB_DEFAULT_RULES=xorg For csh/tcsh run and (optionally) add into ~/.login: setenv XKB_DEFAULT_RULES xorg ===== Message from gcc13-13.2.0_4: -- To ensure binaries built with this toolchain find appropriate versions of the necessary run-time libraries, you may want to link using -Wl,-rpath=/usr/local/lib/gcc13 For ports leveraging USE_GCC, USES=compiler, or USES=fortran this happens transparently. ===> yosys-ghdl-plugin-g20230930 depends on package: yosys>0 - found ===> Returning to build of yosys-ghdl-plugin-g20230930 ===> yosys-ghdl-plugin-g20230930 depends on package: gmake>=4.4.1 - not found ===> Installing existing package /packages/All/gmake-4.4.1.pkg [140amd64-default-job-05] Installing gmake-4.4.1... [140amd64-default-job-05] Extracting gmake-4.4.1: .......... done ===> yosys-ghdl-plugin-g20230930 depends on package: gmake>=4.4.1 - found ===> Returning to build of yosys-ghdl-plugin-g20230930 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> yosys-ghdl-plugin-g20230930 depends on shared library: libffi.so - found (/usr/local/lib/libffi.so) ===> yosys-ghdl-plugin-g20230930 depends on shared library: libghdl-3_0_0.so - not found ===> Installing existing package /packages/All/ghdl-4.0.0.pkg [140amd64-default-job-05] Installing ghdl-4.0.0... the most recent version of ghdl-4.0.0 is already installed ===> yosys-ghdl-plugin-g20230930 depends on shared library: libghdl-3_0_0.so - not found *** Error code 1 Stop. make: stopped in /usr/ports/cad/yosys-ghdl-plugin