From nobody Wed Apr 10 08:04:17 2024 X-Original-To: pkg-fallout@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id 4VDwMj28Rsz5GbJK for ; Wed, 10 Apr 2024 08:04:17 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4VDwMj0ZvPz4t7H for ; Wed, 10 Apr 2024 08:04:17 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) ARC-Seal: i=1; s=dkim; d=freebsd.org; t=1712736257; a=rsa-sha256; cv=none; b=qymysitNi0NJz0S/O0UpSYxZ9fRzc28fwkHz1sUgvWw2Q9vvJJWqJpggjLIAJFaun/BuMu RMUCKxOjjLm53YgIAJ2tKFFpd1E1THT6Rp1E97o1N73hVp6LiNPjTQCrnyCayYz+EWNEW+ mqBwp0wAb9sYEo0cVsAGgguJRXxkIhD92KPrye6NSdovivFsJcvPhtHBO8msNpqj1IBbGz 75XwM8cicxCUdTwyff6898g0xL/SjZtMrZ1y6fRA9Zg1F6BpceSe+y4xH3IGsmNtulkoA8 ZXWlRCzetUzJTOTKdUUdNwKV4dad/PbDu57awPj5OiLQ9v7oRqZ7Yqrdyak78w== ARC-Authentication-Results: i=1; mx1.freebsd.org; none ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=freebsd.org; s=dkim; t=1712736257; h=from:from:reply-to:subject:subject:date:date:message-id:message-id: to:to:cc:cc; bh=pj4ejbKAb4Pxx2qtP9yAgJAZDeGgVfJsk1hN+hhVrsI=; b=mvGujg4Iz5t5RAghCu2mLukpN9H2/wFr3H56+qCoqjDxoY2CdKxSbc8WAgvUw/IWa2ZSeY /wl+moB/orhvZ+qTcb7qkB3e9lDPmosNe7KvmegQcostiW3sckJyO2ZkLo6VfiXvaxmrgp 5ei1Zg8PiuTqfvm3GoSp5Zo/IKGYwtzN33FnAR/ZRkCEbQt3sIB78E/b8ZV0mthVBFz/8a DNNqHGccWIzKeOWDWWZcfJYvxN8FpLMcCiMgO6fQDRzShvXuXw+dT8ISFPkuGZ6yFk/6Jy 0fHEWJXNm48kggTK155HOAU/j8vu5W0SZMN4PCLBkwdBK+Nf1KDmhv1ebxOnUg== Received: from beefy18.nyi.freebsd.org (beefy18.nyi.freebsd.org [IPv6:2610:1c1:1:6080::16:21]) by mxrelay.nyi.freebsd.org (Postfix) with ESMTP id 4VDwMj0BCWzVHl for ; Wed, 10 Apr 2024 08:04:17 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from root (uid 0) (envelope-from pkg-fallout@FreeBSD.org) id 5111 by beefy18.nyi.freebsd.org (DragonFly Mail Agent v0.13+ on beefy18.nyi.freebsd.org); Wed, 10 Apr 2024 08:04:17 +0000 To: manu@FreeBSD.org Subject: [package - main-amd64-default][devel/lattice-ice40-examples-hx1k] Failed for lattice-ice40-examples-hx1k-g20180310_2 in build Cc: pkg-fallout@FreeBSD.org Date: Wed, 10 Apr 2024 08:04:17 +0000 Message-Id: <66164801.5111.44308708@beefy18.nyi.freebsd.org> From: List-Id: Fallout logs from package building List-Archive: https://lists.freebsd.org/archives/freebsd-pkg-fallout List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-freebsd-pkg-fallout@freebsd.org You are receiving this mail as a port that you maintain is failing to build on the FreeBSD package build server. Please investigate the failure and submit a PR to fix build. Maintainer: manu@FreeBSD.org Log URL: https://pkg-status.freebsd.org/beefy18/data/main-amd64-default/pb7573d3199cc_sfb8a8333b48/logs/lattice-ice40-examples-hx1k-g20180310_2.log Build URL: https://pkg-status.freebsd.org/beefy18/build.html?mastername=main-amd64-default&build=pb7573d3199cc_sfb8a8333b48 Log: =>> Building devel/lattice-ice40-examples-hx1k build started at Wed Apr 10 08:03:55 UTC 2024 port directory: /usr/ports/devel/lattice-ice40-examples-hx1k package name: lattice-ice40-examples-hx1k-g20180310_2 building for: FreeBSD main-amd64-default-job-01 15.0-CURRENT FreeBSD 15.0-CURRENT 1500018 amd64 maintained by: manu@FreeBSD.org Makefile datestamp: -rw-r--r-- 1 root wheel 1433 Apr 25 2023 /usr/ports/devel/lattice-ice40-examples-hx1k/Makefile Ports top last git commit: b7573d3199c Ports top unclean checkout: no Port dir last git commit: 8d3e020ed03 Port dir unclean checkout: no Poudriere version: poudriere-git-3.4.1-1-g1e9f97d6 Host OSVERSION: 1500006 Jail OSVERSION: 1500018 Job Id: 01 !!! Jail is newer than host. (Jail: 1500018, Host: 1500006) !!! !!! This is not supported. !!! !!! Host kernel must be same or newer than jail. !!! !!! Expect build failures. !!! ---Begin Environment--- SHELL=/bin/sh OSVERSION=1500018 UNAME_v=FreeBSD 15.0-CURRENT 1500018 UNAME_r=15.0-CURRENT BLOCKSIZE=K MAIL=/var/mail/root MM_CHARSET=UTF-8 LANG=C.UTF-8 STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin MAKE_OBJDIR_CHECK_WRITABLE=0 LOCALBASE=/usr/local USER=root POUDRIERE_NAME=poudriere-git LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.4.1-1-g1e9f97d6 MASTERMNT=/usr/local/poudriere/data/.m/main-amd64-default/ref LC_COLLATE=C POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM= OUTPUT_REDIRECTED_STDERR=4 OUTPUT_REDIRECTED=1 PWD=/usr/local/poudriere/data/.m/main-amd64-default/01/.p OUTPUT_REDIRECTED_STDOUT=3 P_PORTS_FEATURES=FLAVORS SUBPACKAGES SELECTED_OPTIONS MASTERNAME=main-amd64-default SCRIPTPREFIX=/usr/local/share/poudriere SCRIPTNAME=bulk.sh OLDPWD=/usr/local/poudriere/data/.m/main-amd64-default/ref/.p/pool POUDRIERE_PKGNAME=poudriere-git-3.4.1-1-g1e9f97d6 SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ---End OPTIONS List--- --MAINTAINER-- manu@FreeBSD.org --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- MAKE=gmake XDG_DATA_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work XDG_CACHE_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.cache HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig SHELL=/bin/sh CONFIG_SHELL=/bin/sh --End CONFIGURE_ENV-- --MAKE_ENV-- XDG_DATA_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work XDG_CACHE_HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.cache HOME=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " CPP="cpp" CPPFLAGS="" LDFLAGS=" -fstack-protector-strong " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " BSD_INSTALL_PROGRAM="install -s -m 555" BSD_IN STALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- OSREL=15.0 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/lattice-ice40-examples-hx1k" EXAMPLESDIR="share/examples/lattice-ice40-olimex" DATADIR="share/lattice-ice40-examples-hx1k" WWWDIR="www/lattice-ice40-examples-hx1k" ETCDIR="etc/lattice-ice40-examples-hx1k" --End PLIST_SUB-- --SUB_LIST-- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/lattice-ice40-examples-hx1k DOCSDIR=/usr/local/share/doc/lattice-ice40-examples-hx1k EXAMPLESDIR=/usr/local/share/examples/lattice-ice40-olimex WWWDIR=/usr/local/www/lattice-ice40-examples-hx1k ETCDIR=/usr/local/etc/lattice-ice40-examples-hx1k --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### #### # XXX: We really need this but cannot use it while 'make checksum' does not # try the next mirror on checksum failure. It currently retries the same # failed mirror and then fails rather then trying another. It *does* # try the next if the size is mismatched though. #MASTER_SITE_FREEBSD=yes # Build ALLOW_MAKE_JOBS_PACKAGES with 3 jobs MAKE_JOBS_NUMBER=3 #### Misc Poudriere #### .include "/etc/make.conf.ports_env" GID=0 UID=0 ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 8192 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License APACHE20 accepted by the user =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> lattice-ice40-examples-hx1k-g20180310_2 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.21.0.pkg [main-amd64-default-job-01] Installing pkg-1.21.0... [main-amd64-default-job-01] Extracting pkg-1.21.0: .......... done ===> lattice-ice40-examples-hx1k-g20180310_2 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of lattice-ice40-examples-hx1k-g20180310_2 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License APACHE20 accepted by the user ===> Fetching all distfiles required by lattice-ice40-examples-hx1k-g20180310_2 for building =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License APACHE20 accepted by the user ===> Fetching all distfiles required by lattice-ice40-examples-hx1k-g20180310_2 for building => SHA256 Checksum OK for OLIMEX-iCE40HX1K-EVB-g20180310-69df5a7fc2daa8f00a984426b721499f6df22492_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> License APACHE20 accepted by the user ===> Fetching all distfiles required by lattice-ice40-examples-hx1k-g20180310_2 for building ===> Extracting for lattice-ice40-examples-hx1k-g20180310_2 => SHA256 Checksum OK for OLIMEX-iCE40HX1K-EVB-g20180310-69df5a7fc2daa8f00a984426b721499f6df22492_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> Patching for lattice-ice40-examples-hx1k-g20180310_2 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> lattice-ice40-examples-hx1k-g20180310_2 depends on executable: abc - not found ===> Installing existing package /packages/All/abc-g20220920.pkg [main-amd64-default-job-01] Installing abc-g20220920... [main-amd64-default-job-01] `-- Installing readline-8.2.10... [main-amd64-default-job-01] | `-- Installing indexinfo-0.3.1... [main-amd64-default-job-01] | `-- Extracting indexinfo-0.3.1: .... done [main-amd64-default-job-01] `-- Extracting readline-8.2.10: .......... done [main-amd64-default-job-01] Extracting abc-g20220920: ..... done ===> lattice-ice40-examples-hx1k-g20180310_2 depends on executable: abc - found ===> Returning to build of lattice-ice40-examples-hx1k-g20180310_2 ===> lattice-ice40-examples-hx1k-g20180310_2 depends on executable: arachne-pnr - not found ===> Installing existing package /packages/All/arachne-pnr-g20181021_3.pkg [main-amd64-default-job-01] Installing arachne-pnr-g20181021_3... [main-amd64-default-job-01] Extracting arachne-pnr-g20181021_3: ......... done ===== Message from arachne-pnr-g20181021_3: No more expansions possible. 2.43. Executing ICE40_WRAPCARRY pass (wrap carries). 2.44. Executing TECHMAP pass (map to technology primitives). 2.44.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ice40/ff_map.v Parsing Verilog input from `/usr/local/bin/../share/yosys/ice40/ff_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. Successfully finished Verilog frontend. 2.44.2. Continuing TECHMAP pass. No more expansions possible. Removed 19 unused cells and 727 unused wires. 2.45. Executing OPT_LUT pass (optimize LUTs). Discovering LUTs. Number of LUTs: 60 1-LUT 6 2-LUT 9 3-LUT 42 4-LUT 3 with \SB_CARRY (#0) 36 with \SB_CARRY (#1) 36 Eliminating LUTs. Number of LUTs: 60 1-LUT 6 2-LUT 9 3-LUT 42 4-LUT 3 with \SB_CARRY (#0) 36 with \SB_CARRY (#1) 36 Combining LUTs. Number of LUTs: 59 1-LUT 6 2-LUT 8 3-LUT 42 4-LUT 3 with \SB_CARRY (#0) 36 with \SB_CARRY (#1) 36 Eliminated 0 LUTs. Combined 1 LUTs. 2.46. Executing TECHMAP pass (map to technology primitives). 2.46.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ice40/cells_map.v Parsing Verilog input from `/usr/local/bin/../share/yosys/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 2.46.2. Continuing TECHMAP pass. Using template $paramod$d151c38cd9b2f723ca2e7bae80e30ea6d32d7878\$lut for cells of type $lut. Using template $paramod$fd904e9e35cfd343a9df248824bd3f1408724879\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000000 for cells of type $lut. Using template $paramod$f9813472aa48e533b3838c6f2316dc2e78c66111\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1101 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut. No more expansions possible. Removed 0 unused cells and 123 unused wires. 2.47. Executing AUTONAME pass. Renamed 973 objects in module top (38 iterations). 2.48. Executing HIERARCHY pass (managing design hierarchy). 2.48.1. Analyzing design hierarchy.. Top module: \top 2.48.2. Analyzing design hierarchy.. Top module: \top Removed 0 unused modules. 2.49. Printing statistics. === top === Number of wires: 119 Number of wire bits: 170 Number of public wires: 119 Number of public wire bits: 170 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 157 SB_CARRY 49 SB_DFF 16 SB_DFFE 1 SB_DFFESR 15 SB_DFFESS 1 SB_DFFSR 16 SB_LUT4 59 2.50. Executing CHECK pass (checking for obvious problems). Checking module top... Found and reported 0 problems. 2.51. Executing BLIF backend. End of script. Logfile hash: 0a58969053, CPU: user 1.69s system 0.02s, MEM: 35.87 MB peak Yosys 0.39 (git sha1 00338082b00, c++ 18.1.3 -O2 -fstack-protector-strong -fno-strict-aliasing -fPIC -Os) Time spent: 56% 21x read_verilog (1 sec), 6% 1x abc9_exe (0 sec), ... arachne-pnr -d 1k -o example.asc -p ice40hx1k-evb.pcf example.blif -P vq100 seed: 1 device: 1k read_chipdb +/share/arachne-pnr/chipdb-1k.bin... supported packages: cb121, cb132, cb81, cm121, cm36, cm49, cm81, qn84, swg16tr, tq144, vq100 read_blif example.blif... prune... read_pcf ice40hx1k-evb.pcf... instantiate_io... pack... After packing: IOs 5 / 72 GBs 0 / 8 GB_IOs 0 / 8 LCs 85 / 1280 DFF 16 CARRY 20 CARRY, DFF 33 DFF PASS 4 CARRY PASS 6 BRAMs 0 / 16 WARMBOOTs 0 / 1 PLLs 0 / 0 place_constraints... promote_globals... promoted clk_24KHz, 38 / 38 promoted LED1_m1_r_SB_DFFESS_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O, 17 / 17 promoted BUT2_r_SB_LUT4_I2_O[1], 16 / 17 promoted reset_SB_LUT4_I2_1_O, 14 / 14 promoted CLK$2, 12 / 12 promoted 5 nets 2 sr/we 1 cen/wclke 2 clk 5 globals 2 sr/we 1 cen/wclke 2 clk realize_constants... realized 1 place... initial wire length = 491 at iteration #50: temp = 4.9361, wire length = 358 at iteration #100: temp = 2.53391, wire length = 228 at iteration #150: temp = 0.611955, wire length = 124 final wire length = 99 After placement: PIOs 8 / 72 PLBs 20 / 160 BRAMs 0 / 16 place time 0.16s route... gmake: *** [Makefile:11: example.asc] Illegal instruction (core dumped) rm example.blif gmake: Leaving directory '/wrkdirs/usr/ports/devel/lattice-ice40-examples-hx1k/work/iCE40HX1K-EVB-69df5a7fc2daa8f00a984426b721499f6df22492/demo/ice40hx1k-evb' *** Error code 2 Stop. make: stopped in /usr/ports/devel/lattice-ice40-examples-hx1k