From nobody Thu Feb 10 06:31:08 2022 X-Original-To: pkg-fallout@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id 3801819AC11E for ; Thu, 10 Feb 2022 06:31:09 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4JvRhr645Vz4qRd; Thu, 10 Feb 2022 06:31:08 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from beefy18.nyi.freebsd.org (beefy18.nyi.freebsd.org [IPv6:2610:1c1:1:6080::16:21]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id B01001B7E6; Thu, 10 Feb 2022 06:31:08 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from beefy18.nyi.freebsd.org (localhost [127.0.0.1]) by beefy18.nyi.freebsd.org (8.16.1/8.16.1) with ESMTP id 21A6V8Hu008559; Thu, 10 Feb 2022 06:31:08 GMT (envelope-from pkg-fallout@FreeBSD.org) Received: (from root@localhost) by beefy18.nyi.freebsd.org (8.16.1/8.16.1/Submit) id 21A6V8Um008555; Thu, 10 Feb 2022 06:31:08 GMT (envelope-from pkg-fallout@FreeBSD.org) Date: Thu, 10 Feb 2022 06:31:08 GMT From: pkg-fallout@FreeBSD.org Message-Id: <202202100631.21A6V8Um008555@beefy18.nyi.freebsd.org> To: yuri@FreeBSD.org Subject: [package - main-amd64-default][cad/openroad] Failed for openroad-2.0,1 in build Cc: pkg-fallout@FreeBSD.org ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=freebsd.org; s=dkim; t=1644474668; h=from:from:reply-to:subject:subject:date:date:message-id:message-id: to:to:cc:cc; bh=hv6F5JxKVqrFjPFRgPSc22h+Ua9/uV6YbwNkdX+g/gs=; b=iBgwxwdY0b1P8LF3CKy6FGwEI7SbSc9jnfmxHbeHQ12VY8GvHB66trZpdDLdSWvd81Ay8o blCY+4KY0dqwHzze4xhosxVdY9I1q1RB2wK9+Rs04r1EfrpQoXF6kyfeJFgVUpn3iL3dQU fzD/wbDPSjcqINl3DrkIyNNEnts/NjTy4yHEWPKPeanSU3UmGLkMZKbsPq020ES/zo05BA 3yFG9ymRe3EqKy4sGtCK3PGJFdnU73IbrymjLs7iMeJ9gmJRAuHFnDQ6djpEeFByusSiQn eYB07Hi2HBk/tPR6BSecmGFGSl8szFhzgFZ6UbSU61DSZ+NUlgg88/V4pr6q4A== ARC-Seal: i=1; s=dkim; d=freebsd.org; t=1644474668; a=rsa-sha256; cv=none; b=MHc4nylIOrUTauLi+FVu7PfdyRXpQ/AZtDsUWxM/NyP2BFC+PN2pQ0cK7QFYPJzsxX2idG d3Bl6QkM2YR+7Tyw6B3V6mQrA1R8HUg/a4Z+1cSSssC/hEBS/VDhNfxxWJH3ie6ZGXrbX5 HArghfeGr2LyoEN54/XW6f4Uf9AWUH2p7zmEbDfgwS9sVbn+BpC9U/pdMNZi40RZTDcxBf IX+Hn249y2WYsbbIAm5xVTW7YHj7IRsVfJA59nfTfNEQC6R6JlsoYpbIAkx88+zu7geAg9 Fdjro2hULjVM5BX7SD8SB+EiNCItApl3Xuj40m+KYPXVQoyZnSEcDGmwJROhuQ== ARC-Authentication-Results: i=1; mx1.freebsd.org; none X-ThisMailContainsUnwantedMimeParts: N List-Id: Fallout logs from package building List-Archive: https://lists.freebsd.org/archives/freebsd-pkg-fallout List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-freebsd-pkg-fallout@freebsd.org You are receiving this mail as a port that you maintain is failing to build on the FreeBSD package build server. Please investigate the failure and submit a PR to fix build. Maintainer: yuri@FreeBSD.org Log URL: http://beefy18.nyi.freebsd.org/data/main-amd64-default/p24e11f413f38_s8dc42f9804/logs/openroad-2.0,1.log Build URL: http://beefy18.nyi.freebsd.org/build.html?mastername=main-amd64-default&build=p24e11f413f38_s8dc42f9804 Log: =>> Building cad/openroad build started at Thu Feb 10 06:15:49 UTC 2022 port directory: /usr/ports/cad/openroad package name: openroad-2.0,1 building for: FreeBSD main-amd64-default-job-02 14.0-CURRENT FreeBSD 14.0-CURRENT 1400051 amd64 maintained by: yuri@FreeBSD.org Makefile ident: Poudriere version: 3.2.8-21-g883afb07 Host OSVERSION: 1400050 Jail OSVERSION: 1400051 Job Id: 02 !!! Jail is newer than host. (Jail: 1400051, Host: 1400050) !!! !!! This is not supported. !!! !!! Host kernel must be same or newer than jail. !!! !!! Expect build failures. !!! ---Begin Environment--- SHELL=/bin/sh OSVERSION=1400051 UNAME_v=FreeBSD 14.0-CURRENT 1400051 UNAME_r=14.0-CURRENT BLOCKSIZE=K MAIL=/var/mail/root MM_CHARSET=UTF-8 LANG=C.UTF-8 STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin LOCALBASE=/usr/local USER=root LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.2.8-21-g883afb07 MASTERMNT=/usr/local/poudriere/data/.m/main-amd64-default/ref POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM= PWD=/usr/local/poudriere/data/.m/main-amd64-default/ref/.p/pool P_PORTS_FEATURES=FLAVORS SELECTED_OPTIONS MASTERNAME=main-amd64-default SCRIPTPREFIX=/usr/local/share/poudriere OLDPWD=/usr/local/poudriere/data/.m/main-amd64-default/ref/.p SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= DEPENDS_ARGS= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ---End OPTIONS List--- --MAINTAINER-- yuri@FreeBSD.org --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- QT_SELECT=qt5 QMAKEMODULES="/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/mkspecs/modules:/usr/local/lib/qt5/mkspecs/modules" PYTHON="/usr/local/bin/python3.8" XDG_DATA_HOME=/wrkdirs/usr/ports/cad/openroad/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/openroad/work XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/openroad/work/.cache HOME=/wrkdirs/usr/ports/cad/openroad/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/openroad/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh CMAKE_PREFIX_PATH="/usr/local" --End CONFIGURE_ENV-- --MAKE_ENV-- NINJA_STATUS="[%p %s/%t] " QT_SELECT=qt5 QMAKEMODULES="/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/mkspecs/modules:/usr/local/lib/qt5/mkspecs/modules" XDG_DATA_HOME=/wrkdirs/usr/ports/cad/openroad/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/openroad/work XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/openroad/work/.cache HOME=/wrkdirs/usr/ports/cad/openroad/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/openroad/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES DESTDIR=/wrkdirs/usr/ports/cad/openroad/work/stage PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing " CPP="cpp" CPPFLAGS="-isystem /usr/local/include" LDFLAGS=" -fstack-protector-strong -L/usr/local/lib " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/loca l/include/eigen3 -isystem /usr/local/include " MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- CMAKE_BUILD_TYPE="release" QT_BINDIR="lib/qt5/bin" QT_INCDIR="include/qt5" QT_LIBDIR="lib/qt5" QT_ARCHDIR="lib/qt5" QT_PLUGINDIR="lib/qt5/plugins" QT_LIBEXECDIR="libexec/qt5" QT_IMPORTDIR="lib/qt5/imports" QT_QMLDIR="lib/qt5/qml" QT_DATADIR="share/qt5" QT_DOCDIR="share/doc/qt5" QT_L10NDIR="share/qt5/translations" QT_EXAMPLEDIR="share/examples/qt5" QT_TESTDIR="share/qt5/tests" QT_MKSPECDIR="lib/qt5/mkspecs" PYTHON_INCLUDEDIR=include/python3.8 PYTHON_LIBDIR=lib/python3.8 PYTHON_PLATFORM=freebsd14 PYTHON_SITELIBDIR=lib/python3.8/site-packages PYTHON_SUFFIX=38 PYTHON_EXT_SUFFIX=.cpython-38 PYTHON_VER=3.8 PYTHON_VERSION=python3.8 PYTHON2="@comment " PYTHON3="" OSREL=14.0 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/openroad" EXAMPLESDIR="share/examples/openroad" DATADIR="share/openroad" WWWDIR="www/openroad" ETCDIR="etc/openroad" --End PLIST_SUB-- --SUB_LIST-- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/openroad DOCSDIR=/usr/local/share/doc/openroad EXAMPLESDIR=/usr/local/share/examples/openroad WWWDIR=/usr/local/www/openroad ETCDIR=/usr/local/etc/openroad --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### /usr/local/etc/poudriere.d/make.conf #### # XXX: We really need this but cannot use it while 'make checksum' does not # try the next mirror on checksum failure. It currently retries the same # failed mirror and then fails rather then trying another. It *does* # try the next if the size is mismatched though. #MASTER_SITE_FREEBSD=yes # Build ALLOW_MAKE_JOBS_PACKAGES with 2 jobs MAKE_JOBS_NUMBER=2 #### /usr/ports/Mk/Scripts/ports_env.sh #### _CCVERSION_921dbbb2=FreeBSD clang version 13.0.0 (git@github.com:llvm/llvm-project.git llvmorg-13.0.0-0-gd7b669b3a303) Target: x86_64-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin _ALTCCVERSION_921dbbb2=none _CXXINTERNAL_acaad9ca=FreeBSD clang version 13.0.0 (git@github.com:llvm/llvm-project.git llvmorg-13.0.0-0-gd7b669b3a303) Target: x86_64-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin "/usr/bin/ld" "--eh-frame-hdr" "-dynamic-linker" "/libexec/ld-elf.so.1" "--hash-style=both" "--enable-new-dtags" "-o" "a.out" "/usr/lib/crt1.o" "/usr/lib/crti.o" "/usr/lib/crtbegin.o" "-L/usr/lib" "/dev/null" "-lc++" "-lm" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "-lc" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "/usr/lib/crtend.o" "/usr/lib/crtn.o" CC_OUTPUT_921dbbb2_58173849=yes CC_OUTPUT_921dbbb2_9bdba57c=yes CC_OUTPUT_921dbbb2_6a4fe7f5=yes CC_OUTPUT_921dbbb2_6bcac02b=yes CC_OUTPUT_921dbbb2_67d20829=yes CC_OUTPUT_921dbbb2_bfa62e83=yes CC_OUTPUT_921dbbb2_f0b4d593=yes CC_OUTPUT_921dbbb2_308abb44=yes CC_OUTPUT_921dbbb2_f00456e5=yes CC_OUTPUT_921dbbb2_65ad290d=yes CC_OUTPUT_921dbbb2_f2776b26=yes CC_OUTPUT_921dbbb2_b2657cc3=yes CC_OUTPUT_921dbbb2_380987f7=yes CC_OUTPUT_921dbbb2_160933ec=yes CC_OUTPUT_921dbbb2_fb62803b=yes _OBJC_CCVERSION_921dbbb2=FreeBSD clang version 13.0.0 (git@github.com:llvm/llvm-project.git llvmorg-13.0.0-0-gd7b669b3a303) Target: x86_64-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin _OBJC_ALTCCVERSION_921dbbb2=none ARCH=amd64 OPSYS=FreeBSD _OSRELEASE=14.0-CURRENT OSREL=14.0 OSVERSION=1400051 PYTHONBASE=/usr/local HAVE_COMPAT_IA32_KERN=YES CONFIGURE_MAX_CMD_LEN=524288 HAVE_PORTS_ENV=1 #### Misc Poudriere #### GID=0 UID=0 ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 1024 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===> License BSD3CLAUSE accepted by the user =========================================================================== =================================================== ===> openroad-2.0,1 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.17.5_1.pkg [main-amd64-default-job-02] Installing pkg-1.17.5_1... [main-amd64-default-job-02] Extracting pkg-1.17.5_1: .......... done ===> openroad-2.0,1 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of openroad-2.0,1 =========================================================================== =================================================== =========================================================================== =================================================== ===> License BSD3CLAUSE accepted by the user ===> Fetching all distfiles required by openroad-2.0,1 for building =========================================================================== =================================================== ===> License BSD3CLAUSE accepted by the user ===> Fetching all distfiles required by openroad-2.0,1 for building => SHA256 Checksum OK for The-OpenROAD-Project-OpenROAD-v2.0_GH0.tar.gz. => SHA256 Checksum OK for The-OpenROAD-Project-OpenSTA-be0d38e_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> License BSD3CLAUSE accepted by the user ===> Fetching all distfiles required by openroad-2.0,1 for building ===> Extracting for openroad-2.0,1 => SHA256 Checksum OK for The-OpenROAD-Project-OpenROAD-v2.0_GH0.tar.gz. => SHA256 Checksum OK for The-OpenROAD-Project-OpenSTA-be0d38e_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> Patching for openroad-2.0,1 ===> Applying FreeBSD patches for openroad-2.0,1 from /usr/ports/cad/openroad/files =========================================================================== =================================================== ===> openroad-2.0,1 depends on executable: base64 - not found ===> Installing existing package /packages/All/base64-1.5_1.pkg [main-amd64-default-job-02] Installing base64-1.5_1... [main-amd64-default-job-02] Extracting base64-1.5_1: ..... done ===> openroad-2.0,1 depends on executable: base64 - found ===> Returning to build of openroad-2.0,1 ^ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:33:20: note: in instantiation of template class 'Eigen::internal::result_of (const double &, const double &)>' requested here typedef typename result_of< ^ /usr/local/include/eigen3/Eigen/src/Core/util/XprHelper.h:497:56: note: in instantiation of template class 'Eigen::internal::traits, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>>' requested here template::XprKind, typename StorageKind = typename traits::StorageKind> ^ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:149:22: note: in instantiation of default argument for 'generic_xpr_base, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>>' required here : public internal::generic_xpr_base >::type ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:78:10: note: in instantiation of template class 'Eigen::CwiseBinaryOpImpl, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>, Eigen::Dense>' requested here public CwiseBinaryOpImpl< ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU_gemm_kernel.h:261:76: note: in instantiation of template class 'Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>' requested here if(rd==1) MapVector(C+j*ldc+ib,actual_b) += B[0+d_end+j*ldb] * ConstMapVector(A+(d_end+0)*lda+ib, actual_b); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU_panel_bmod.h:152:17: note: in instantiation of function template specialization 'Eigen::internal::sparselu_gemm' requested here internal::sparselu_gemm(L.rows(), L.cols(), B.cols(), B.data(), B.outerStride(), U.data(), U.outerStride(), L.data(), L.outerStride()); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU.h:613:11: note: in instantiation of member function 'Eigen::internal::SparseLUImpl::panel_bmod' requested here Base::panel_bmod(m, panel_size, jcol, nseg1, dense, tempv, segrep, repfnz, m_glu); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU.h:129:7: note: in instantiation of member function 'Eigen::SparseLU, Eigen::COLAMDOrdering>::factorize' requested here factorize(matrix); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/psm/src/ir_solver.cpp:146:10: note: in instantiation of member function 'Eigen::SparseLU, Eigen::COLAMDOrdering>::compute' requested here solver.compute(A); ^ /usr/include/c++/v1/type_traits:4009:34: note: 'result_of (const double &, const double &)>' has been explicitly marked deprecated here template class _LIBCPP_DEPRECATED_IN_CXX17 result_of; ^ /usr/include/c++/v1/__config:1016:39: note: expanded from macro '_LIBCPP_DEPRECATED_IN_CXX17' # define _LIBCPP_DEPRECATED_IN_CXX17 _LIBCPP_DEPRECATED ^ /usr/include/c++/v1/__config:993:48: note: expanded from macro '_LIBCPP_DEPRECATED' # define _LIBCPP_DEPRECATED __attribute__ ((deprecated)) ^ In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/psm/src/ir_solver.cpp:48: In file included from /usr/local/include/eigen3/Eigen/Sparse:26: In file included from /usr/local/include/eigen3/Eigen/SparseCore:11: In file included from /usr/local/include/eigen3/Eigen/Core:367: /usr/local/include/eigen3/Eigen/src/Core/util/Meta.h:320:25: warning: 'result_of (const double &, const double &)>' is deprecated [-Wdeprecated-declarations] typedef typename std::result_of::type type1; ^ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:33:20: note: in instantiation of template class 'Eigen::internal::result_of (const double &, const double &)>' requested here typedef typename result_of< ^ /usr/local/include/eigen3/Eigen/src/Core/util/XprHelper.h:497:56: note: in instantiation of template class 'Eigen::internal::traits, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>>>' requested here template::XprKind, typename StorageKind = typename traits::StorageKind> ^ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:149:22: note: in instantiation of default argument for 'generic_xpr_base, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>>>' required here : public internal::generic_xpr_base >::type ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/local/include/eigen3/Eigen/src/Core/CwiseBinaryOp.h:78:10: note: in instantiation of template class 'Eigen::CwiseBinaryOpImpl, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>, Eigen::Dense>' requested here public CwiseBinaryOpImpl< ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU_gemm_kernel.h:264:57: note: in instantiation of template class 'Eigen::CwiseBinaryOp, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>, const Eigen::CwiseBinaryOp, const Eigen::CwiseNullaryOp, const Eigen::Matrix>, const Eigen::Map, 16>>>' requested here + B[1+d_end+j*ldb] * ConstMapVector(A+(d_end+1)*lda+ib, actual_b); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU_panel_bmod.h:152:17: note: in instantiation of function template specialization 'Eigen::internal::sparselu_gemm' requested here internal::sparselu_gemm(L.rows(), L.cols(), B.cols(), B.data(), B.outerStride(), U.data(), U.outerStride(), L.data(), L.outerStride()); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU.h:613:11: note: in instantiation of member function 'Eigen::internal::SparseLUImpl::panel_bmod' requested here Base::panel_bmod(m, panel_size, jcol, nseg1, dense, tempv, segrep, repfnz, m_glu); ^ /usr/local/include/eigen3/Eigen/src/SparseLU/SparseLU.h:129:7: note: in instantiation of member function 'Eigen::SparseLU, Eigen::COLAMDOrdering>::factorize' requested here factorize(matrix); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/psm/src/ir_solver.cpp:146:10: note: in instantiation of member function 'Eigen::SparseLU, Eigen::COLAMDOrdering>::compute' requested here solver.compute(A); ^ /usr/include/c++/v1/type_traits:4009:34: note: 'result_of (const double &, const double &)>' has been explicitly marked deprecated here template class _LIBCPP_DEPRECATED_IN_CXX17 result_of; ^ /usr/include/c++/v1/__config:1016:39: note: expanded from macro '_LIBCPP_DEPRECATED_IN_CXX17' # define _LIBCPP_DEPRECATED_IN_CXX17 _LIBCPP_DEPRECATED ^ /usr/include/c++/v1/__config:993:48: note: expanded from macro '_LIBCPP_DEPRECATED' # define _LIBCPP_DEPRECATED __attribute__ ((deprecated)) ^ 2 warnings generated. [ 78% 604/771] : && /usr/local/bin/cmake -E rm -f src/psm/src/psm.a && /usr/bin/llvm-ar qc src/psm/src/psm.a src/psm/src/CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o src/psm/src/CMakeFiles/psm.dir/psm-tclInitVar.cc.o src/psm/src/CMakeFiles/psm.dir/node.cpp.o src/psm/src/CMakeFiles/psm.dir/gmat.cpp.o src/psm/src/CMakeFiles/psm.dir/ir_solver.cpp.o src/psm/src/CMakeFiles/psm.dir/get_power.cpp.o src/psm/src/CMakeFiles/psm.dir/get_voltage.cpp.o src/psm/src/CMakeFiles/psm.dir/pdnsim.cpp.o src/psm/src/CMakeFiles/psm.dir/MakePDNSim.cpp.o && /usr/bin/llvm-ranlib src/psm/src/psm.a && cd /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/psm && /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/etc/find_messages.py > /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/psm/src/../messages.txt [ 78% 605/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -Wno-cast-qual -MD -MT src/par/CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o -MF src/par/CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o.d -o src/par/CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o -c /wrkdirs/usr/ports/cad/openroad/work/.build/src/par/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx [ 78% 606/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/par-tclInitVar.cc.o -MF src/par/CMakeFiles/par.dir/par-tclInitVar.cc.o.d -o src/par/CMakeFiles/par.dir/par-tclInitVar.cc.o -c /wrkdirs/usr/ports/cad/openroad/work/.build/src/par/par-tclInitVar.cc [ 78% 607/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o -MF src/par/CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o.d -o src/par/CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/MakePartitionMgr.cpp [ 78% 608/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/Hypergraph.cpp.o -MF src/par/CMakeFiles/par.dir/src/Hypergraph.cpp.o.d -o src/par/CMakeFiles/par.dir/src/Hypergraph.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/Hypergraph.cpp [ 78% 609/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/HypergraphDecomposition.cpp.o -MF src/par/CMakeFiles/par.dir/src/HypergraphDecomposition.cpp.o.d -o src/par/CMakeFiles/par.dir/src/HypergraphDecomposition.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/HypergraphDecomposition.cpp [ 78% 610/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/PartitionMgr.cpp.o -MF src/par/CMakeFiles/par.dir/src/PartitionMgr.cpp.o.d -o src/par/CMakeFiles/par.dir/src/PartitionMgr.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/PartitionMgr.cpp [ 79% 611/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/PartOptions.cpp.o -MF src/par/CMakeFiles/par.dir/src/PartOptions.cpp.o.d -o src/par/CMakeFiles/par.dir/src/PartOptions.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/PartOptions.cpp [ 79% 612/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/PartitionNetwork.cpp.o -MF src/par/CMakeFiles/par.dir/src/PartitionNetwork.cpp.o.d -o src/par/CMakeFiles/par.dir/src/PartitionNetwork.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/PartitionNetwork.cpp [ 79% 613/771] cd /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute && /usr/local/bin/cmake -E make_directory /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/CMakeFiles/TritonRoute.dir /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/CMakeFiles/TritonRoute.dir && /usr/local/bin/cmake -E env SWIG_LIB=/usr/local/share/swig/4.0.2 /usr/local/bin/swig -tcl -namespace -prefix drt -Werror -w317,325,378,401,402,467,472,503,509 -outdir /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute -c++ -module TritonRoute -o /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/TritonRoute.i [ 79% 614/771] cd /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute && /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/etc/TclEncode.tcl /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/TritonRoute-tclInitVar.cc TritonRoute_tcl_inits src/TritonRoute.tcl [ 79% 615/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/gui/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/stt/. -I /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -Wall -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -Wno-gnu-zero-variadic-macro-arguments -pthread -fopenmp=libomp -std=c++17 -Wno-cast-qual -MD -MT src/TritonRoute/CMakeFiles/TritonRoute.dir/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx.o -MF src/TritonRoute/CMakeFiles/TritonRoute.dir/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx.o.d -o src/TritonRoute/CMakeFiles/TritonRoute.dir/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx.o -c /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx [ 79% 616/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/gui/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/stt/. -I /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -Wall -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -Wno-gnu-zero-variadic-macro-arguments -pthread -fopenmp=libomp -std=c++17 -MD -MT src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRoute-tclInitVar.cc.o -MF src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRoute-tclInitVar.cc.o.d -o src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRoute-tclInitVar.cc.o -c /wrkdirs/usr/ports/cad/openroad/work/.build/src/TritonRoute/TritonRoute-tclInitVar.cc [ 79% 617/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/gui/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/stt/. -I /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -Wall -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -Wno-gnu-zero-variadic-macro-arguments -pthread -fopenmp=libomp -std=c++17 -MD -MT src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o -MF src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o.d -o src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.cpp FAILED: src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/gui/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/stt/. -I/wrkdirs/usr/po rts/cad/openroad/work/OpenROAD-2.0/src/sta/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -Wall -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -Wno-gnu-zero-variadic-macro-arguments -pthread -fopenmp=libomp -std=c++17 -MD -MT src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o -MF src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o.d -o src/TritonRoute/CMakeFiles/TritonRoute.dir/src/gr/FlexGRCMap.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.cpp In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.cpp:29: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.h:37: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/frBaseTypes.h:41: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:46: In file included from /usr/local/include/spdlog/spdlog.h:12: In file included from /usr/local/include/spdlog/common.h:36: In file included from /usr/local/include/spdlog/fmt/fmt.h:25: /usr/local/include/fmt/core.h:1727:3: error: static_assert failed due to requirement 'formattable' "Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt" static_assert( ^ /usr/local/include/fmt/core.h:1853:23: note: in instantiation of function template specialization 'fmt::detail::make_arg, fmt::detail::type::custom_type, const fr::frMinstepTypeEnum &, 0>' requested here data_{detail::make_arg< ^ /usr/local/include/fmt/core.h:1872:10: note: in instantiation of function template specialization 'fmt::format_arg_store, int, fr::frMinstepTypeEnum, int, bool, bool, bool, int>::format_arg_store' requested here return {std::forward(args)...}; ^ /usr/local/include/spdlog/logger.h:332:52: note: in instantiation of function template specialization 'fmt::make_format_args, const int &, const fr::frMinstepTypeEnum &, const int &, const bool &, const bool &, const bool &, const int &>' requested here fmt::detail::vformat_to(buf, fmt, fmt::make_format_args(args...)); ^ /usr/local/include/spdlog/logger.h:83:9: note: in instantiation of function template specialization 'spdlog::logger::log_' requested here log_(loc, lvl, fmt, std::forward(args)...); ^ /usr/local/include/spdlog/logger.h:89:9: note: in instantiation of function template specialization 'spdlog::logger::log' requested here log(source_loc{}, lvl, fmt, std::forward(args)...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:102:16: note: in instantiation of function template specialization 'spdlog::logger::log' requested here logger_->log(spdlog::level::level_enum::off, message, args...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/db/tech/frConstraint.h:314:13: note: in instantiation of function template specialization 'utl::Logger::report' requested here logger->report( ^ In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.cpp:29: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.h:37: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/frBaseTypes.h:41: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:46: In file included from /usr/local/include/spdlog/spdlog.h:12: In file included from /usr/local/include/spdlog/common.h:36: In file included from /usr/local/include/spdlog/fmt/fmt.h:25: /usr/local/include/fmt/core.h:1727:3: error: static_assert failed due to requirement 'formattable' "Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt" static_assert( ^ /usr/local/include/fmt/core.h:1853:23: note: in instantiation of function template specialization 'fmt::detail::make_arg, fmt::detail::type::custom_type, const fr::frMinimumcutConnectionEnum &, 0>' requested here data_{detail::make_arg< ^ /usr/local/include/fmt/core.h:1872:10: note: in instantiation of function template specialization 'fmt::format_arg_store, int, int, int, fr::frMinimumcutConnectionEnum, int, int>::format_arg_store' requested here return {std::forward(args)...}; ^ /usr/local/include/spdlog/logger.h:332:52: note: in instantiation of function template specialization 'fmt::make_format_args, const int &, const int &, const int &, const fr::frMinimumcutConnectionEnum &, const int &, const int &>' requested here fmt::detail::vformat_to(buf, fmt, fmt::make_format_args(args...)); ^ /usr/local/include/spdlog/logger.h:83:9: note: in instantiation of function template specialization 'spdlog::logger::log_' requested here log_(loc, lvl, fmt, std::forward(args)...); ^ /usr/local/include/spdlog/logger.h:89:9: note: in instantiation of function template specialization 'spdlog::logger::log' requested here log(source_loc{}, lvl, fmt, std::forward(args)...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:102:16: note: in instantiation of function template specialization 'spdlog::logger::log' requested here logger_->log(spdlog::level::level_enum::off, message, args...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/db/tech/frConstraint.h:379:13: note: in instantiation of function template specialization 'utl::Logger::report' requested here logger->report( ^ In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.cpp:29: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/gr/FlexGRCMap.h:37: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/frBaseTypes.h:41: In file included from /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:46: In file included from /usr/local/include/spdlog/spdlog.h:12: In file included from /usr/local/include/spdlog/common.h:36: In file included from /usr/local/include/spdlog/fmt/fmt.h:25: /usr/local/include/fmt/core.h:1727:3: error: static_assert failed due to requirement 'formattable' "Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt" static_assert( ^ /usr/local/include/fmt/core.h:1853:23: note: in instantiation of function template specialization 'fmt::detail::make_arg, fmt::detail::type::custom_type, const fr::frCornerTypeEnum &, 0>' requested here data_{detail::make_arg< ^ /usr/local/include/fmt/core.h:1872:10: note: in instantiation of function template specialization 'fmt::format_arg_store, fr::frCornerTypeEnum, bool, int, int, int, bool, bool, int, bool, int, bool, bool, bool>::format_arg_store' requested here return {std::forward(args)...}; ^ /usr/local/include/spdlog/logger.h:332:52: note: in instantiation of function template specialization 'fmt::make_format_args, const fr::frCornerTypeEnum &, const bool &, const int &, const int &, const int &, const bool &, const bool &, const int &, const bool &, const int &, const bool &, const bool &, const bool &>' requested here fmt::detail::vformat_to(buf, fmt, fmt::make_format_args(args...)); ^ /usr/local/include/spdlog/logger.h:83:9: note: in instantiation of function template specialization 'spdlog::logger::log_' requested here log_(loc, lvl, fmt, std::forward(args)...); ^ /usr/local/include/spdlog/logger.h:89:9: note: in instantiation of function template specialization 'spdlog::logger::log' requested here log(source_loc{}, lvl, fmt, std::forward(args)...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include/utl/Logger.h:102:16: note: in instantiation of function template specialization 'spdlog::logger::log' requested here logger_->log(spdlog::level::level_enum::off, message, args...); ^ /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/src/db/tech/frConstraint.h:2072:13: note: in instantiation of function template specialization 'utl::Logger::report' requested here logger->report( ^ 3 errors generated. [ 80% 617/771] /usr/bin/c++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/include -I/usr/local/include/tcl8.6 -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/include/opendb -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/def -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/def/defzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/utl/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lef -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/OpenDB/src/lef/lefzlib -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/sta/include -I/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/dbSta/src/../include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno -strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -I/usr/local/include/eigen3 -isystem /usr/local/include -pthread -std=c++17 -MD -MT src/par/CMakeFiles/par.dir/src/autocluster.cpp.o -MF src/par/CMakeFiles/par.dir/src/autocluster.cpp.o.d -o src/par/CMakeFiles/par.dir/src/autocluster.cpp.o -c /wrkdirs/usr/ports/cad/openroad/work/OpenROAD-2.0/src/par/src/autocluster.cpp ninja: build stopped: subcommand failed. ===> Compilation failed unexpectedly. Try to set MAKE_JOBS_UNSAFE=yes and rebuild before reporting the failure to the maintainer. *** Error code 1 Stop. make: stopped in /usr/ports/cad/openroad