From nobody Tue Jun 29 03:01:37 2021 X-Original-To: pkg-fallout@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id 52D1F11E2249 for ; Tue, 29 Jun 2021 03:01:38 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4GDTlQ0RpRz3MpV for ; Tue, 29 Jun 2021 03:01:38 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from foul1.nyi.freebsd.org (foul1.nyi.freebsd.org [IPv6:2610:1c1:1:6080::16:25]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id E8A8C114E9 for ; Tue, 29 Jun 2021 03:01:37 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from foul1.nyi.freebsd.org (localhost [127.0.0.1]) by foul1.nyi.freebsd.org (8.16.1/8.16.1) with ESMTP id 15T31bMK067173 for ; Tue, 29 Jun 2021 03:01:37 GMT (envelope-from pkg-fallout@FreeBSD.org) Received: (from root@localhost) by foul1.nyi.freebsd.org (8.16.1/8.16.1/Submit) id 15T31bWv067166; Tue, 29 Jun 2021 03:01:37 GMT (envelope-from pkg-fallout@FreeBSD.org) Date: Tue, 29 Jun 2021 03:01:37 GMT From: pkg-fallout@FreeBSD.org Message-Id: <202106290301.15T31bWv067166@foul1.nyi.freebsd.org> To: pkg-fallout@FreeBSD.org Subject: [package - 122powerpc64-quarterly][devel/icestorm] Failed for icestorm-g20190526_3 in build X-ThisMailContainsUnwantedMimeParts: N List-Id: Fallout logs from package building List-Archive: https://lists.freebsd.org/archives/freebsd-pkg-fallout List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-freebsd-pkg-fallout@freebsd.org You are receiving this mail as a port that you maintain is failing to build on the FreeBSD package build server. Please investigate the failure and submit a PR to fix build. Maintainer: manu@FreeBSD.org Log URL: http://foul1.nyi.freebsd.org/data/122powerpc64-quarterly/ae162bd98935/logs/icestorm-g20190526_3.log Build URL: http://foul1.nyi.freebsd.org/build.html?mastername=122powerpc64-quarterly&build=ae162bd98935 Log: =>> Building devel/icestorm build started at Tue Jun 29 02:49:31 UTC 2021 port directory: /usr/ports/devel/icestorm package name: icestorm-g20190526_3 building for: FreeBSD 122powerpc64-quarterly-job-06 12.2-RELEASE FreeBSD 12.2-RELEASE powerpc maintained by: manu@FreeBSD.org Makefile ident: Poudriere version: 3.2.8-8-gaf08dbda Host OSVERSION: 1400008 Jail OSVERSION: 1202000 Job Id: 06 ---Begin Environment--- SHELL=/bin/csh OSVERSION=1202000 UNAME_v=FreeBSD 12.2-RELEASE UNAME_r=12.2-RELEASE BLOCKSIZE=K MAIL=/var/mail/root STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin LOCALBASE=/usr/local USER=root LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.2.8-8-gaf08dbda MASTERMNT=/usr/local/poudriere/data/.m/122powerpc64-quarterly/ref POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM= PWD=/usr/local/poudriere/data/.m/122powerpc64-quarterly/ref/.p/pool P_PORTS_FEATURES=FLAVORS SELECTED_OPTIONS MASTERNAME=122powerpc64-quarterly SCRIPTPREFIX=/usr/local/share/poudriere OLDPWD=/usr/local/poudriere/data/.m/122powerpc64-quarterly/ref/.p SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= DEPENDS_ARGS= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ---End OPTIONS List--- --MAINTAINER-- manu@FreeBSD.org --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- MAKE=gmake PKG_CONFIG=pkgconf PYTHON="/usr/local/bin/python3.7" XDG_DATA_HOME=/wrkdirs/usr/ports/devel/icestorm/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/icestorm/work HOME=/wrkdirs/usr/ports/devel/icestorm/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/icestorm/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh --End CONFIGURE_ENV-- --MAKE_ENV-- XDG_DATA_HOME=/wrkdirs/usr/ports/devel/icestorm/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/icestorm/work HOME=/wrkdirs/usr/ports/devel/icestorm/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/icestorm/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES ADDR2LINE="/usr/local/bin/addr2line" AR="/usr/local/bin/ar" AS="/usr/local/bin/as" CPPFILT="/usr/local/bin/c++filt" GPROF="/usr/local/bin/gprof" LD="/usr/local/bin/ld" NM="/usr/local/bin/nm" OBJCOPY="/usr/local/bin/objcopy" OBJDUMP="/usr/local/bin/objdump" RANLIB="/usr/local/bin/ranlib" READELF="/usr/local/bin/readelf" SIZE="/usr/local/bin/size" STRINGS="/usr/local/bin/strings" PREFIX=/usr/local LOCALBASE=/usr/local CC="gcc10" CFLAGS="-O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -fno-strict-aliasing " CPP="cpp10" CPPFLAGS="" LDFLAGS=" -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/lo cal/lib/gcc10 " LIBS="" CXX="g++10" CXXFLAGS="-O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -Wl,-rpath=/usr/local/lib/gcc10 " MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- PYTHON_INCLUDEDIR=include/python3.7m PYTHON_LIBDIR=lib/python3.7 PYTHON_PLATFORM=freebsd12 PYTHON_SITELIBDIR=lib/python3.7/site-packages PYTHON_SUFFIX=37 PYTHON_EXT_SUFFIX= PYTHON_VER=3.7 PYTHON_VERSION=python3.7 PYTHON2="@comment " PYTHON3="" OSREL=12.2 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/icestorm" EXAMPLESDIR="share/examples/icestorm" DATADIR="share/icestorm" WWWDIR="www/icestorm" ETCDIR="etc/icestorm" --End PLIST_SUB-- --SUB_LIST-- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/icestorm DOCSDIR=/usr/local/share/doc/icestorm EXAMPLESDIR=/usr/local/share/examples/icestorm WWWDIR=/usr/local/www/icestorm ETCDIR=/usr/local/etc/icestorm --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### /usr/local/etc/poudriere.d/make.conf #### # XXX: We really need this but cannot use it while 'make checksum' does not # try the next mirror on checksum failure. It currently retries the same # failed mirror and then fails rather then trying another. It *does* # try the next if the size is mismatched though. #MASTER_SITE_FREEBSD=yes # Build ALLOW_MAKE_JOBS_PACKAGES with 2 jobs MAKE_JOBS_NUMBER=2 #### /usr/ports/Mk/Scripts/ports_env.sh #### _CCVERSION_921dbbb2=cc (GCC) 4.2.1 20070831 patched [FreeBSD] Copyright (C) 2007 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. _ALTCCVERSION_921dbbb2=none _CXXINTERNAL_acaad9ca=Using built-in specs. Target: powerpc64-undermydesk-freebsd Configured with: FreeBSD/powerpc64 system compiler Thread model: posix gcc version 4.2.1 20070831 patched [FreeBSD] "/usr/bin/ld" "--eh-frame-hdr" "-Qy" "-melf64ppc_fbsd" "--enable-new-dtags" "-dynamic-linker" "/libexec/ld-elf.so.1" "/usr/lib/crt1.o" "/usr/lib/crti.o" "/usr/lib/crtbegin.o" "-L/usr/lib" "-L/usr/lib" "/dev/null" "-lstdc++" "-lm" "-lgcc_s" "-lgcc" "-lc" "-lgcc_s" "-lgcc" "/usr/lib/crtsavres.o" "/usr/lib/crtend.o" "/usr/lib/crtn.o" CC_OUTPUT_921dbbb2_58173849=yes CC_OUTPUT_921dbbb2_9bdba57c=yes CC_OUTPUT_921dbbb2_6a4fe7f5=cc1: error: unrecognized command line option "-std=c11" CC_OUTPUT_921dbbb2_6bcac02b=yes CC_OUTPUT_921dbbb2_67d20829=yes CC_OUTPUT_921dbbb2_bfa62e83=cc1: error: unrecognized command line option "-std=gnu11" CC_OUTPUT_921dbbb2_f0b4d593=yes CC_OUTPUT_921dbbb2_308abb44=cc1plus: error: unrecognized command line option "-std=c++0x" CC_OUTPUT_921dbbb2_f00456e5=cc1plus: error: unrecognized command line option "-std=c++11" CC_OUTPUT_921dbbb2_65ad290d=cc1plus: error: unrecognized command line option "-std=c++14" CC_OUTPUT_921dbbb2_f2776b26=cc1plus: error: unrecognized command line option "-std=c++17" CC_OUTPUT_921dbbb2_b2657cc3=yes CC_OUTPUT_921dbbb2_380987f7=cc1plus: error: unrecognized command line option "-std=gnu++11" CC_OUTPUT_921dbbb2_160933ec=cc1plus: error: unrecognized command line option "-std=gnu++14" CC_OUTPUT_921dbbb2_fb62803b=cc1plus: error: unrecognized command line option "-std=gnu++17" _OBJC_CCVERSION_921dbbb2=cc (GCC) 4.2.1 20070831 patched [FreeBSD] Copyright (C) 2007 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. _OBJC_ALTCCVERSION_921dbbb2=none ARCH=powerpc64 PPC_ABI=ELFv1 OPSYS=FreeBSD _OSRELEASE=12.2-RELEASE OSREL=12.2 OSVERSION=1202000 PYTHONBASE=/usr/local CONFIGURE_MAX_CMD_LEN=524288 HAVE_PORTS_ENV=1 #### Misc Poudriere #### GID=0 UID=0 ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 1024 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===> License ISCL accepted by the user =========================================================================== =================================================== ===> icestorm-g20190526_3 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.16.3.txz [122powerpc64-quarterly-job-06] Installing pkg-1.16.3... [122powerpc64-quarterly-job-06] Extracting pkg-1.16.3: .......... done ===> icestorm-g20190526_3 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of icestorm-g20190526_3 =========================================================================== =================================================== =========================================================================== =================================================== ===> License ISCL accepted by the user ===> Fetching all distfiles required by icestorm-g20190526_3 for building =========================================================================== =================================================== ===> License ISCL accepted by the user ===> Fetching all distfiles required by icestorm-g20190526_3 for building => SHA256 Checksum OK for cliffordwolf-icestorm-g20190526-710470f9_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> License ISCL accepted by the user ===> Fetching all distfiles required by icestorm-g20190526_3 for building ===> Extracting for icestorm-g20190526_3 => SHA256 Checksum OK for cliffordwolf-icestorm-g20190526-710470f9_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> Patching for icestorm-g20190526_3 =========================================================================== =================================================== ===> icestorm-g20190526_3 depends on package: gmake>=4.3 - not found ===> Installing existing package /packages/All/gmake-4.3_2.txz [122powerpc64-quarterly-job-06] Installing gmake-4.3_2... [122powerpc64-quarterly-job-06] `-- Installing gettext-runtime-0.21... [122powerpc64-quarterly-job-06] | `-- Installing indexinfo-0.3.1... [122powerpc64-quarterly-job-06] | `-- Extracting indexinfo-0.3.1: .... done [122powerpc64-quarterly-job-06] `-- Extracting gettext-runtime-0.21: .......... done [122powerpc64-quarterly-job-06] Extracting gmake-4.3_2: .......... done ===> icestorm-g20190526_3 depends on package: gmake>=4.3 - found ===> Returning to build of icestorm-g20190526_3 ===> icestorm-g20190526_3 depends on package: pkgconf>=1.3.0_1 - not found ===> Installing existing package /packages/All/pkgconf-1.7.4,1.txz [122powerpc64-quarterly-job-06] Installing pkgconf-1.7.4,1... [122powerpc64-quarterly-job-06] Extracting pkgconf-1.7.4,1: .......... done ===> icestorm-g20190526_3 depends on package: pkgconf>=1.3.0_1 - found ===> Returning to build of icestorm-g20190526_3 ===> icestorm-g20190526_3 depends on file: /usr/local/bin/python3.7 - not found ===> Installing existing package /packages/All/python37-3.7.10.txz [122powerpc64-quarterly-job-06] Installing python37-3.7.10... [122powerpc64-quarterly-job-06] `-- Installing libffi-3.3_1... [122powerpc64-quarterly-job-06] | `-- Installing gcc10-10.2.0_1... [122powerpc64-quarterly-job-06] | | `-- Installing binutils-2.33.1_4,1... [122powerpc64-quarterly-job-06] | | `-- Extracting binutils-2.33.1_4,1: .......... done [122powerpc64-quarterly-job-06] | | `-- Installing gcc9-9.3.0_3... [122powerpc64-quarterly-job-06] | | `-- Installing gmp-6.2.1... [122powerpc64-quarterly-job-06] | | `-- Extracting gmp-6.2.1: .......... done [122powerpc64-quarterly-job-06] | | `-- Installing mpc-1.2.0... [122powerpc64-quarterly-job-06] | | | `-- Installing mpfr-4.1.0... [122powerpc64-quarterly-job-06] | | | `-- Extracting mpfr-4.1.0: .......... done [122powerpc64-quarterly-job-06] | | `-- Extracting mpc-1.2.0: ......... done [122powerpc64-quarterly-job-06] | | `-- Extracting gcc9-9.3.0_3: .......... done [122powerpc64-quarterly-job-06] | `-- Extracting gcc10-10.2.0_1: .......... done [122powerpc64-quarterly-job-06] `-- Extracting libffi-3.3_1: .......... done [122powerpc64-quarterly-job-06] `-- Installing readline-8.1.0... [122powerpc64-quarterly-job-06] `-- Extracting readline-8.1.0: .......... done [122powerpc64-quarterly-job-06] Extracting python37-3.7.10: .......... done ===== Message from gcc9-9.3.0_3: -- To ensure binaries built with this toolchain find appropriate versions of the necessary run-time libraries, you may want to link using -Wl,-rpath=/usr/local/lib/gcc9 For ports leveraging USE_GCC, USES=compiler, or USES=fortran this happens transparently. ===== Message from gcc10-10.2.0_1: -- To ensure binaries built with this toolchain find appropriate versions of the necessary run-time libraries, you may want to link using -Wl,-rpath=/usr/local/lib/gcc10 For ports leveraging USE_GCC, USES=compiler, or USES=fortran this happens transparently. ===== Message from python37-3.7.10: -- Note that some standard Python modules are provided as separate ports as they require additional dependencies. They are available as: py37-gdbm databases/py-gdbm@py37 py37-sqlite3 databases/py-sqlite3@py37 py37-tkinter x11-toolkits/py-tkinter@py37 ===> icestorm-g20190526_3 depends on file: /usr/local/bin/python3.7 - found ===> Returning to build of icestorm-g20190526_3 ===> icestorm-g20190526_3 depends on executable: gcc10 - found ===> icestorm-g20190526_3 depends on file: /usr/local/bin/as - found =========================================================================== =================================================== ===> icestorm-g20190526_3 depends on shared library: libftdi1.so - not found ===> Installing existing package /packages/All/libftdi1-1.5_2.txz [122powerpc64-quarterly-job-06] Installing libftdi1-1.5_2... [122powerpc64-quarterly-job-06] `-- Installing boost-libs-1.72.0_4... [122powerpc64-quarterly-job-06] | `-- Installing icu-68.2,1... [122powerpc64-quarterly-job-06] | `-- Extracting icu-68.2,1: .......... done [122powerpc64-quarterly-job-06] `-- Extracting boost-libs-1.72.0_4: .......... done [122powerpc64-quarterly-job-06] `-- Installing libconfuse-3.3_2... [122powerpc64-quarterly-job-06] `-- Extracting libconfuse-3.3_2: .......... done [122powerpc64-quarterly-job-06] Extracting libftdi1-1.5_2: .......... done ===== Message from boost-libs-1.72.0_4: -- You have built the Boost library with thread support. Don't forget to add -pthread to your linker options when linking your code. ===> icestorm-g20190526_3 depends on shared library: libftdi1.so - found (/usr/local/lib/libftdi1.so) ===> Returning to build of icestorm-g20190526_3 ===> icestorm-g20190526_3 depends on shared library: libboost_python37.so - not found ===> Installing existing package /packages/All/py37-boost-libs-1.72.0_1.txz [122powerpc64-quarterly-job-06] Installing py37-boost-libs-1.72.0_1... [122powerpc64-quarterly-job-06] Extracting py37-boost-libs-1.72.0_1: .......... done ===== Message from py37-boost-libs-1.72.0_1: -- You have built the Boost library with thread support. Don't forget to add -pthread to your linker options when linking your code. ===> icestorm-g20190526_3 depends on shared library: libboost_python37.so - found (/usr/local/lib/libboost_python37.so) ===> Returning to build of icestorm-g20190526_3 =========================================================================== =================================================== ===> Configuring for icestorm-g20190526_3 =========================================================================== =================================================== ===> Building for icestorm-g20190526_3 gmake[1]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9' gmake -C icebox all gmake -C icepack all gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icebox' python3 icebox_chipdb.py -3 > chipdb-384.new gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icepack' g++10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -Wl,-rpath=/usr/local/lib/gcc10 -MD -O2 -Wall -std=c++11 -I/usr/local/include -MD -O2 -Wall -std=c++11 -I/usr/local/include -c -o icepack.o icepack.cc g++10 -o icepack -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 icepack.o -lm -lstdc++ ln -sf icepack iceunpack gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icepack' python3 icebox_chipdb.py > chipdb-1k.new mv chipdb-384.new chipdb-384.txt python3 icebox_chipdb.py -8 > chipdb-8k.new mv chipdb-1k.new chipdb-1k.txt python3 icebox_chipdb.py -5 > chipdb-5k.new mv chipdb-5k.new chipdb-5k.txt python3 icebox_chipdb.py -4 > chipdb-lm4k.new mv chipdb-8k.new chipdb-8k.txt python3 icebox_chipdb.py -u > chipdb-u4k.new mv chipdb-u4k.new chipdb-u4k.txt gmake -C iceprog all gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/iceprog' gcc10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -fno-strict-aliasing -MD -O2 -Wall -std=c99 -I/usr/local/include -MD -O2 -Wall -std=c99 -I/usr/local/include -I/usr/local/include/libftdi1 -c -o iceprog.o iceprog.c gcc10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -fno-strict-aliasing -MD -O2 -Wall -std=c99 -I/usr/local/include -MD -O2 -Wall -std=c99 -I/usr/local/include -I/usr/local/include/libftdi1 -c -o mpsse.o mpsse.c mpsse.c: In function 'mpsse_init': mpsse.c:317:2: warning: 'ftdi_usb_purge_buffers' is deprecated [-Wdeprecated-declarations] 317 | if (ftdi_usb_purge_buffers(&mpsse_ftdic)) { | ^~ In file included from mpsse.c:26: /usr/local/include/libftdi1/ftdi.h:566:20: note: declared here 566 | int DEPRECATED(ftdi_usb_purge_buffers(struct ftdi_context *ftdi)); | ^~~~~~~~~~~~~~~~~~~~~~ /usr/local/include/libftdi1/ftdi.h:247:55: note: in definition of macro 'DEPRECATED' 247 | #define DEPRECATED(func) __attribute__ ((deprecated)) func | ^~~~ gcc10 -o iceprog -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 iceprog.o mpsse.o -L/usr/local/lib -lm -L/usr/local/lib -lftdi1 -lusb gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/iceprog' gmake -C icemulti all gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icemulti' g++10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -Wl,-rpath=/usr/local/lib/gcc10 -MD -O2 -Wall -std=c++11 -I/usr/local/include -MD -O2 -Wall -std=c++11 -I/usr/local/include -c -o icemulti.o icemulti.cc g++10 -o icemulti -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 icemulti.o -lm -lstdc++ gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icemulti' gmake -C icepll all gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icepll' g++10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -Wl,-rpath=/usr/local/lib/gcc10 -MD -O2 -Wall -std=c++11 -I/usr/local/include -MD -O2 -Wall -std=c++11 -I/usr/local/include -c -o icepll.o icepll.cc g++10 -o icepll -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 icepll.o -lm -lstdc++ gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icepll' gmake -C icetime all gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icetime' g++10 -O2 -pipe -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -Wl,-rpath=/usr/local/lib/gcc10 -MD -O2 -Wall -std=c++11 -I/usr/local/include -MD -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"icebox"' -c -o icetime.o icetime.cc icetime.cc: In function 'std::string ecnetname_to_vlog(std::string)': icetime.cc:1313:15: error: 'invalid_argument' in namespace 'std' does not name a type 1313 | } catch(std::invalid_argument &e) { // Not numeric and stoi throws exception | ^~~~~~~~~~~~~~~~ gmake[2]: *** [: icetime.o] Error 1 gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icetime' gmake[1]: *** [Makefile:7: icetime.all] Error 2 gmake[1]: *** Waiting for unfinished jobs.... mv chipdb-lm4k.new chipdb-lm4k.txt gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9/icebox' gmake[1]: Leaving directory '/wrkdirs/usr/ports/devel/icestorm/work/icestorm-710470f9' ===> Compilation failed unexpectedly. Try to set MAKE_JOBS_UNSAFE=yes and rebuild before reporting the failure to the maintainer. *** Error code 1 Stop. make: stopped in /usr/ports/devel/icestorm