From nobody Sat Jun 19 16:12:33 2021 X-Original-To: pkg-fallout@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id D7FC711C80BD for ; Sat, 19 Jun 2021 16:12:33 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4G6gmd5NtWz4Rdf; Sat, 19 Jun 2021 16:12:33 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from beefy17.nyi.freebsd.org (beefy17.nyi.freebsd.org [IPv6:2610:1c1:1:6080::16:20]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id A15D8192F3; Sat, 19 Jun 2021 16:12:33 +0000 (UTC) (envelope-from pkg-fallout@FreeBSD.org) Received: from beefy17.nyi.freebsd.org (localhost [127.0.0.1]) by beefy17.nyi.freebsd.org (8.16.1/8.16.1) with ESMTP id 15JGCXO2093035; Sat, 19 Jun 2021 16:12:33 GMT (envelope-from pkg-fallout@FreeBSD.org) Received: (from root@localhost) by beefy17.nyi.freebsd.org (8.16.1/8.16.1/Submit) id 15JGCXM6093034; Sat, 19 Jun 2021 16:12:33 GMT (envelope-from pkg-fallout@FreeBSD.org) Date: Sat, 19 Jun 2021 16:12:33 GMT From: pkg-fallout@FreeBSD.org Message-Id: <202106191612.15JGCXM6093034@beefy17.nyi.freebsd.org> To: yuri@FreeBSD.org Subject: [package - main-i386-default][cad/verilator] Failed for verilator-4.204 in build Cc: pkg-fallout@FreeBSD.org X-ThisMailContainsUnwantedMimeParts: N List-Id: Fallout logs from package building List-Archive: https://lists.freebsd.org/archives/freebsd-pkg-fallout List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-freebsd-pkg-fallout@freebsd.org You are receiving this mail as a port that you maintain is failing to build on the FreeBSD package build server. Please investigate the failure and submit a PR to fix build. Maintainer: yuri@FreeBSD.org Log URL: http://beefy17.nyi.freebsd.org/data/main-i386-default/p7e413d93a62b_s5f88df77a6/logs/verilator-4.204.log Build URL: http://beefy17.nyi.freebsd.org/build.html?mastername=main-i386-default&build=p7e413d93a62b_s5f88df77a6 Log: =>> Building cad/verilator build started at Sat Jun 19 16:11:40 UTC 2021 port directory: /usr/ports/cad/verilator package name: verilator-4.204 building for: FreeBSD main-i386-default-job-07 14.0-CURRENT FreeBSD 14.0-CURRENT 1400024 i386 maintained by: yuri@FreeBSD.org Makefile ident: Poudriere version: 3.2.8-8-gaf08dbda Host OSVERSION: 1400008 Jail OSVERSION: 1400024 Job Id: 07 !!! Jail is newer than host. (Jail: 1400024, Host: 1400008) !!! !!! This is not supported. !!! !!! Host kernel must be same or newer than jail. !!! !!! Expect build failures. !!! ---Begin Environment--- SHELL=/bin/csh UNAME_p=i386 UNAME_m=i386 OSVERSION=1400024 UNAME_v=FreeBSD 14.0-CURRENT 1400024 UNAME_r=14.0-CURRENT BLOCKSIZE=K MAIL=/var/mail/root MM_CHARSET=UTF-8 LANG=C.UTF-8 STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin LOCALBASE=/usr/local USER=root LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.2.8-8-gaf08dbda MASTERMNT=/usr/local/poudriere/data/.m/main-i386-default/ref POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM= PWD=/usr/local/poudriere/data/.m/main-i386-default/ref/.p/pool P_PORTS_FEATURES=FLAVORS SELECTED_OPTIONS MASTERNAME=main-i386-default SCRIPTPREFIX=/usr/local/share/poudriere OLDPWD=/usr/local/poudriere/data/.m/main-i386-default/ref/.p SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= DEPENDS_ARGS= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ===> The following configuration options are available for verilator-4.204: INSTALL_DBG_EXECUTABLES=off: Install *_dbg executables LEAK_CHECKS=off: Disable intentional memory leaks ===> Use 'make config' to modify these settings ---End OPTIONS List--- --MAINTAINER-- yuri@FreeBSD.org --End MAINTAINER-- --CONFIGURE_ARGS-- --prefix=/usr/local ${_LATE_CONFIGURE_ARGS} --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- INSTALL_PROGRAM="install -m 555" MAKE=gmake ac_cv_path_PERL=/usr/local/bin/perl ac_cv_path_PERL_PATH=/usr/local/bin/perl PERL_USE_UNSAFE_INC=1 PYTHON="/usr/local/bin/python3.8" XDG_DATA_HOME=/wrkdirs/usr/ports/cad/verilator/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/verilator/work HOME=/wrkdirs/usr/ports/cad/verilator/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/verilator/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh CMAKE_PREFIX_PATH="/usr/local" CONFIG_SITE=/usr/ports/Templates/config.site lt_cv_sys_max_cmd_len=524288 --End CONFIGURE_ENV-- --MAKE_ENV-- PERL_USE_UNSAFE_INC=1 XDG_DATA_HOME=/wrkdirs/usr/ports/cad/verilator/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/verilator/work HOME=/wrkdirs/usr/ports/cad/verilator/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/verilator/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing " CPP="cpp" CPPFLAGS="-isystem /usr/local/include" LDFLAGS=" -fstack-protector-strong -L/usr/local/lib " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include " MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- INSTALL_DBG_EXECUTABLES="@comment " NO_INSTALL_DBG_EXECUTABLES="" LEAK_CHECKS="@comment " NO_LEAK_CHECKS="" PYTHON_INCLUDEDIR=include/python3.8 PYTHON_LIBDIR=lib/python3.8 PYTHON_PLATFORM=freebsd14 PYTHON_SITELIBDIR=lib/python3.8/site-packages PYTHON_SUFFIX=38 PYTHON_EXT_SUFFIX=.cpython-38 PYTHON_VER=3.8 PYTHON_VERSION=python3.8 PYTHON2="@comment " PYTHON3="" OSREL=14.0 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib PERL_VERSION=5.32.1 PERL_VER=5.32 PERL5_MAN1=lib/perl5/site_perl/man/man1 PERL5_MAN3=lib/perl5/site_perl/man/man3 SITE_PERL=lib/perl5/site_perl SITE_ARCH=lib/perl5/site_perl/mach/5.32 DOCSDIR="share/doc/verilator" EXAMPLESDIR="share/examples/verilator" DATADIR="share/verilator" WWWDIR="www/verilator" ETCDIR="etc/verilator" --End PLIST_SUB-- --SUB_LIST-- INSTALL_DBG_EXECUTABLES="@comment " NO_INSTALL_DBG_EXECUTABLES="" LEAK_CHECKS="@comment " NO_LEAK_CHECKS="" PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/verilator DOCSDIR=/usr/local/share/doc/verilator EXAMPLESDIR=/usr/local/share/examples/verilator WWWDIR=/usr/local/www/verilator ETCDIR=/usr/local/etc/verilator --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes MACHINE=i386 MACHINE_ARCH=i386 ARCH=${MACHINE_ARCH} #### /usr/local/etc/poudriere.d/make.conf #### # XXX: We really need this but cannot use it while 'make checksum' does not # try the next mirror on checksum failure. It currently retries the same # failed mirror and then fails rather then trying another. It *does* # try the next if the size is mismatched though. #MASTER_SITE_FREEBSD=yes # Build ALLOW_MAKE_JOBS_PACKAGES with 2 jobs MAKE_JOBS_NUMBER=2 #### /usr/ports/Mk/Scripts/ports_env.sh #### _CCVERSION_921dbbb2=FreeBSD clang version 12.0.0 (git@github.com:llvm/llvm-project.git llvmorg-12.0.0-0-gd28af7c654d8) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin _ALTCCVERSION_921dbbb2=none _CXXINTERNAL_acaad9ca=FreeBSD clang version 12.0.0 (git@github.com:llvm/llvm-project.git llvmorg-12.0.0-0-gd28af7c654d8) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin "/usr/bin/ld" "--eh-frame-hdr" "-dynamic-linker" "/libexec/ld-elf.so.1" "--hash-style=both" "--enable-new-dtags" "-m" "elf_i386_fbsd" "-o" "a.out" "/usr/lib/crt1.o" "/usr/lib/crti.o" "/usr/lib/crtbegin.o" "-L/usr/lib" "/dev/null" "-lc++" "-lm" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "-lc" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "/usr/lib/crtend.o" "/usr/lib/crtn.o" CC_OUTPUT_921dbbb2_58173849=yes CC_OUTPUT_921dbbb2_9bdba57c=yes CC_OUTPUT_921dbbb2_6a4fe7f5=yes CC_OUTPUT_921dbbb2_6bcac02b=yes CC_OUTPUT_921dbbb2_67d20829=yes CC_OUTPUT_921dbbb2_bfa62e83=yes CC_OUTPUT_921dbbb2_f0b4d593=yes CC_OUTPUT_921dbbb2_308abb44=yes CC_OUTPUT_921dbbb2_f00456e5=yes CC_OUTPUT_921dbbb2_65ad290d=yes CC_OUTPUT_921dbbb2_f2776b26=yes CC_OUTPUT_921dbbb2_b2657cc3=yes CC_OUTPUT_921dbbb2_380987f7=yes CC_OUTPUT_921dbbb2_160933ec=yes CC_OUTPUT_921dbbb2_fb62803b=yes _OBJC_CCVERSION_921dbbb2=FreeBSD clang version 12.0.0 (git@github.com:llvm/llvm-project.git llvmorg-12.0.0-0-gd28af7c654d8) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin _OBJC_ALTCCVERSION_921dbbb2=none ARCH=i386 OPSYS=FreeBSD _OSRELEASE=14.0-CURRENT OSREL=14.0 OSVERSION=1400024 PYTHONBASE=/usr/local CONFIGURE_MAX_CMD_LEN=524288 HAVE_PORTS_ENV=1 #### Misc Poudriere #### GID=0 UID=0 ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 524288 stack size (kbytes, -s) 65536 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 1024 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===> License GPLv3 accepted by the user =========================================================================== =================================================== ===> verilator-4.204 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.16.3.txz [main-i386-default-job-07] Installing pkg-1.16.3... [main-i386-default-job-07] Extracting pkg-1.16.3: .......... done ===> verilator-4.204 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of verilator-4.204 =========================================================================== =================================================== =========================================================================== =================================================== ===> License GPLv3 accepted by the user => verilator-4.204.tgz doesn't seem to exist in /portdistfiles/. => Attempting to fetch https://www.veripool.org/ftp/verilator-4.204.tgz verilator-4.204.tgz 3113 kB 4851 kBps 00s ===> Fetching all distfiles required by verilator-4.204 for building =========================================================================== =================================================== ===> License GPLv3 accepted by the user ===> Fetching all distfiles required by verilator-4.204 for building => SHA256 Checksum OK for verilator-4.204.tgz. =========================================================================== =================================================== =========================================================================== =================================================== ===> License GPLv3 accepted by the user ===> Fetching all distfiles required by verilator-4.204 for building ===> Extracting for verilator-4.204 => SHA256 Checksum OK for verilator-4.204.tgz. =========================================================================== =================================================== =========================================================================== =================================================== ===> Patching for verilator-4.204 checking whether c++ accepts -std=gnu++14... yes checking whether c++ accepts -std=c++03... yes checking whether c++ accepts -Wextra... yes checking whether c++ accepts -Wfloat-conversion... yes checking whether c++ accepts -Wlogical-op... no checking whether c++ accepts -Wthread-safety... yes checking whether c++ accepts -Qunused-arguments... yes checking whether c++ accepts -faligned-new... yes checking whether c++ accepts -Wno-unused-parameter... yes checking whether c++ accepts -Wno-shadow... yes checking whether c++ accepts -Wno-char-subscripts... yes checking whether c++ accepts -Wno-null-conversion... yes checking whether c++ accepts -Wno-parentheses-equality... yes checking whether c++ accepts -Wno-unused... yes checking whether c++ accepts -faligned-new... yes checking whether c++ accepts -fbracket-depth=4096... yes checking whether c++ accepts -fcf-protection=none... yes checking whether c++ accepts -mno-cet... no checking whether c++ accepts -Qunused-arguments... yes checking whether c++ accepts -Wno-bool-operation... yes checking whether c++ accepts -Wno-tautological-bitwise-compare... yes checking whether c++ accepts -Wno-parentheses-equality... yes checking whether c++ accepts -Wno-sign-compare... yes checking whether c++ accepts -Wno-uninitialized... yes checking whether c++ accepts -Wno-unused-but-set-variable... no checking whether c++ accepts -Wno-unused-parameter... yes checking whether c++ accepts -Wno-unused-variable... yes checking whether c++ accepts -Wno-shadow... yes checking whether c++ linker accepts -mt... no checking whether c++ linker accepts -pthread... yes checking whether c++ linker accepts -lpthread... yes checking whether c++ linker accepts -latomic... no checking whether c++ linker accepts -static-libgcc... no checking whether c++ linker accepts -static-libstdc++... no checking whether c++ linker accepts -Xlinker -gc-sections... yes checking whether c++ linker accepts -lpthread... yes checking whether c++ linker accepts -lbcrypt... no checking whether c++ linker accepts -lpsapi... no checking whether c++ linker accepts -l:libtcmalloc_minimal.a... no checking whether c++ supports C++11... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h Now type 'make' (or sometimes 'gmake') to build Verilator. =========================================================================== =================================================== ===> Building for verilator-4.204 gmake[1]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204' pod2man bin/verilator verilator.1 ------------------------------------------------------------ making verilator in src gmake -C src gmake[2]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src' mkdir -p obj_dbg mkdir -p obj_opt gmake -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src' gmake[3]: warning: -j1 forced in submake: resetting jobserver mode. /wrkdirs/usr/ports/cad/verilator/work/.bin/python3 ../astgen -I .. --classes gmake -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' /wrkdirs/usr/ports/cad/verilator/work/.bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' gmake -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' Compile flags: c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator" c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /wrkdirs/usr/ports/cad/verilator/work/.bin/python3 ../bisonpre --yacc /usr/local/bin/bison -d -v -o V3ParseBison.c ../verilog.y Linking ../../bin/verilator_coverage_bin_dbg... c++ -fstack-protector-strong -L/usr/local/lib -fstack-protector-strong -L/usr/local/lib -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' pod2man bin/verilator_coverage verilator_coverage.1 gmake -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src' gmake[3]: warning: -j1 forced in submake: resetting jobserver mode. /wrkdirs/usr/ports/cad/verilator/work/.bin/python3 ../astgen -I .. --classes If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /wrkdirs/usr/ports/cad/verilator/work/.bin/python3 ../bisonpre --yacc /usr/local/bin/bison -d -v -o V3ParseBison.c ../verilog.y edit ../verilog.y V3ParseBison_pretmp.y /usr/local/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' gmake -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' Compile flags: c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator" c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator\" -c ../Verilator.cpp -o Verilator.o In file included from ../Verilator.cpp:18: In file included from ../V3Ast.h:25: In file included from ../V3Number.h:24: ./V3Hash.h:37:14: error: constructor cannot be redeclared explicit V3Hash(size_t val) ^ ./V3Hash.h:33:14: note: previous definition is here explicit V3Hash(uint32_t val) ^ ./V3Hash.h:56:12: error: class member cannot be redeclared V3Hash operator+(size_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:54:12: note: previous definition is here V3Hash operator+(uint32_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:63:13: error: class member cannot be redeclared V3Hash& operator+=(size_t value) { return *this += V3Hash(value); } ^ ./V3Hash.h:61:13: note: previous definition is here V3Hash& operator+=(uint32_t value) { return *this += V3Hash(value); } ^ 3 errors generated. gmake[3]: *** [../Makefile_obj:297: Verilator.o] Error 1 gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_dbg' gmake[2]: *** [Makefile:66: ../bin/verilator_bin_dbg] Error 2 gmake[2]: *** Waiting for unfinished jobs.... pod2man bin/verilator_gantt verilator_gantt.1 pod2man bin/verilator_profcfunc verilator_profcfunc.1 edit ../verilog.y V3ParseBison_pretmp.y /usr/local/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_opt' gmake -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj gmake[3]: Entering directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_opt' c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -O2 -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator\" -c ../Verilator.cpp -o Verilator.o Compile flags: c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -O2 -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator" c++ -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -O2 -pipe -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include -isystem /usr/local/include -isystem /usr/local/include -MMD -I. -I.. -I.. -I../../include -I../../include -O2 -MP -Qunused-arguments -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator\" -c ../V3Active.cpp -o V3Active.o In file included from ../Verilator.cpp:18: In file included from ../V3Ast.h:25: In file included from ../V3Number.h:24: ./V3Hash.h:37:14: error: constructor cannot be redeclared explicit V3Hash(size_t val) ^ ./V3Hash.h:33:14: note: previous definition is here explicit V3Hash(uint32_t val) ^ ./V3Hash.h:56:12: error: class member cannot be redeclared V3Hash operator+(size_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:54:12: note: previous definition is here V3Hash operator+(uint32_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:63:13: error: class member cannot be redeclared V3Hash& operator+=(size_t value) { return *this += V3Hash(value); } ^ ./V3Hash.h:61:13: note: previous definition is here V3Hash& operator+=(uint32_t value) { return *this += V3Hash(value); } ^ In file included from ../V3Active.cpp:33: In file included from ../V3Active.h:24: In file included from ../V3Ast.h:25: In file included from ../V3Number.h:24: ./V3Hash.h:37:14: error: constructor cannot be redeclared explicit V3Hash(size_t val) ^ ./V3Hash.h:33:14: note: previous definition is here explicit V3Hash(uint32_t val) ^ ./V3Hash.h:56:12: error: class member cannot be redeclared V3Hash operator+(size_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:54:12: note: previous definition is here V3Hash operator+(uint32_t value) const { return *this + V3Hash(value); } ^ ./V3Hash.h:63:13: error: class member cannot be redeclared V3Hash& operator+=(size_t value) { return *this += V3Hash(value); } ^ ./V3Hash.h:61:13: note: previous definition is here V3Hash& operator+=(uint32_t value) { return *this += V3Hash(value); } ^ 3 errors generated. gmake[3]: *** [../Makefile_obj:297: V3Active.o] Error 1 gmake[3]: *** Waiting for unfinished jobs.... 3 errors generated. gmake[3]: *** [../Makefile_obj:297: Verilator.o] Error 1 gmake[3]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src/obj_opt' gmake[2]: *** [Makefile:60: ../bin/verilator_bin] Error 2 gmake[2]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204/src' gmake[1]: *** [Makefile:233: verilator_exe] Error 2 gmake[1]: Leaving directory '/wrkdirs/usr/ports/cad/verilator/work/verilator-4.204' ===> Compilation failed unexpectedly. Try to set MAKE_JOBS_UNSAFE=yes and rebuild before reporting the failure to the maintainer. *** Error code 1 Stop. make: stopped in /usr/ports/cad/verilator