git: 6cc4c3f3a761 - main - cad/nvc: Update 1.6.2 -> 1.7.0

From: Yuri Victorovich <yuri_at_FreeBSD.org>
Date: Sun, 07 Aug 2022 20:30:50 UTC
The branch main has been updated by yuri:

URL: https://cgit.FreeBSD.org/ports/commit/?id=6cc4c3f3a76177eb538c8d914d2958c850ad4bca

commit 6cc4c3f3a76177eb538c8d914d2958c850ad4bca
Author:     Yuri Victorovich <yuri@FreeBSD.org>
AuthorDate: 2022-08-07 19:26:35 +0000
Commit:     Yuri Victorovich <yuri@FreeBSD.org>
CommitDate: 2022-08-07 20:30:46 +0000

    cad/nvc: Update 1.6.2 -> 1.7.0
---
 cad/nvc/Makefile  | 21 ++++++++++++++-------
 cad/nvc/distinfo  |  6 +++---
 cad/nvc/pkg-plist | 17 +++++++++++++++++
 3 files changed, 34 insertions(+), 10 deletions(-)

diff --git a/cad/nvc/Makefile b/cad/nvc/Makefile
index 2dce60012843..35df7ed4448d 100644
--- a/cad/nvc/Makefile
+++ b/cad/nvc/Makefile
@@ -1,7 +1,6 @@
 PORTNAME=	nvc
 DISTVERSIONPREFIX=	r
-DISTVERSION=	1.6.2
-PORTREVISION=	1
+DISTVERSION=	1.7.0
 CATEGORIES=	cad
 
 MAINTAINER=	yuri@FreeBSD.org
@@ -10,20 +9,21 @@ COMMENT=	VHDL compiler and simulator
 LICENSE=	GPLv3
 LICENSE_FILE=	${WRKSRC}/COPYING
 
-BROKEN_armv6=	executable catches signal during build, see https://github.com/nickg/nvc/issues/500
-
 BUILD_DEPENDS=	llvm-config${LLVM_VERSION}:devel/llvm${LLVM_VERSION}
-RUN_DEPENDS=	llvm${LLVM_VERSION}>0:devel/llvm${LLVM_VERSION}
+RUN_DEPENDS=	llvm${LLVM_VERSION}>0:devel/llvm${LLVM_VERSION} \
+		bash:shells/bash
 TEST_DEPENDS=	check>0:devel/check
 .if !exists(/usr/include/unwind.h)
 LIB_DEPENDS=	libunwind.so:devel/libunwind
 .endif
 
-USES=		autoreconf compiler:c++14-lang localbase pkgconfig
+USES=		autoreconf compiler:c++14-lang localbase pkgconfig shebangfix
 
 USE_GITHUB=	yes
 GH_ACCOUNT=	nickg
 
+SHEBANG_FILES=	contrib/functions.sh
+
 GNU_CONFIGURE=	yes
 
 LDFLAGS+=	-lexecinfo
@@ -36,7 +36,14 @@ MAKE_ARGS=	CFLAGS="${CFLAGS}" # only for tests
 
 LLVM_VERSION=	${LLVM_DEFAULT}
 
+# outsource build is required by the project
+BUILD_DIR=		${WRKSRC}/.build
+BUILD_WRKSRC=		${BUILD_DIR}
+CONFIGURE_WRKSRC=	${BUILD_DIR}
+INSTALL_WRKSRC=		${BUILD_DIR}
+CONFIGURE_CMD=		${WRKSRC}/configure
+
 post-install:
-	${FIND} ${STAGEDIR}${PREFIX} -name "*.so" | ${XARGS} ${STRIP_CMD}
+	@${FIND} ${STAGEDIR}${PREFIX} -name "*.so" | ${XARGS} ${STRIP_CMD}
 
 .include <bsd.port.mk>
diff --git a/cad/nvc/distinfo b/cad/nvc/distinfo
index 9e7ea0e1f2a6..b09db874a646 100644
--- a/cad/nvc/distinfo
+++ b/cad/nvc/distinfo
@@ -1,3 +1,3 @@
-TIMESTAMP = 1649914932
-SHA256 (nickg-nvc-r1.6.2_GH0.tar.gz) = d2ff24bc7a3ea6ebc70989a93312cb8716f431ed2cf8a03838918856fbe404e3
-SIZE (nickg-nvc-r1.6.2_GH0.tar.gz) = 1149390
+TIMESTAMP = 1659898552
+SHA256 (nickg-nvc-r1.7.0_GH0.tar.gz) = 5b9e75d61ae92e55904bf41910a35176564849be7f54e1cf85137ebe8522f758
+SIZE (nickg-nvc-r1.7.0_GH0.tar.gz) = 1284688
diff --git a/cad/nvc/pkg-plist b/cad/nvc/pkg-plist
index 501299e8cac0..60eeeb8b8527 100644
--- a/cad/nvc/pkg-plist
+++ b/cad/nvc/pkg-plist
@@ -1,8 +1,14 @@
 bin/nvc
+include/vhpi_user.h
 lib/nvc/ieee.08/IEEE.FIXED_FLOAT_TYPES
 lib/nvc/ieee.08/IEEE.FIXED_GENERIC_PKG
 lib/nvc/ieee.08/IEEE.FIXED_GENERIC_PKG-body
 lib/nvc/ieee.08/IEEE.FIXED_PKG
+lib/nvc/ieee.08/IEEE.FLOAT_GENERIC_PKG
+lib/nvc/ieee.08/IEEE.FLOAT_GENERIC_PKG-body
+lib/nvc/ieee.08/IEEE.FLOAT_PKG
+lib/nvc/ieee.08/IEEE.IEEE_BIT_CONTEXT
+lib/nvc/ieee.08/IEEE.IEEE_STD_CONTEXT
 lib/nvc/ieee.08/IEEE.MATH_COMPLEX
 lib/nvc/ieee.08/IEEE.MATH_COMPLEX-body
 lib/nvc/ieee.08/IEEE.MATH_REAL
@@ -24,6 +30,12 @@ lib/nvc/ieee.08/IEEE.STD_LOGIC_SIGNED-body
 lib/nvc/ieee.08/IEEE.STD_LOGIC_TEXTIO
 lib/nvc/ieee.08/IEEE.STD_LOGIC_UNSIGNED
 lib/nvc/ieee.08/IEEE.STD_LOGIC_UNSIGNED-body
+lib/nvc/ieee.08/IEEE.VITAL_MEMORY
+lib/nvc/ieee.08/IEEE.VITAL_MEMORY-body
+lib/nvc/ieee.08/IEEE.VITAL_PRIMITIVES
+lib/nvc/ieee.08/IEEE.VITAL_PRIMITIVES-body
+lib/nvc/ieee.08/IEEE.VITAL_TIMING
+lib/nvc/ieee.08/IEEE.VITAL_TIMING-body
 lib/nvc/ieee.08/_NVC_LIB
 lib/nvc/ieee.08/_index
 lib/nvc/ieee/IEEE.MATH_COMPLEX
@@ -54,6 +66,8 @@ lib/nvc/ieee/IEEE.VITAL_TIMING
 lib/nvc/ieee/IEEE.VITAL_TIMING-body
 lib/nvc/ieee/_NVC_LIB
 lib/nvc/ieee/_index
+lib/nvc/nvc.08/NVC.IEEE_SUPPORT
+lib/nvc/nvc.08/NVC.IEEE_SUPPORT-body
 lib/nvc/nvc.08/NVC.POLYFILL
 lib/nvc/nvc.08/NVC.SIM_PKG
 lib/nvc/nvc.08/_NVC_LIB
@@ -78,4 +92,7 @@ lib/nvc/std/_index
 lib/nvc/synopsys/SYNOPSYS.ATTRIBUTES
 lib/nvc/synopsys/_NVC_LIB
 lib/nvc/synopsys/_index
+libexec/nvc/functions.sh
+libexec/nvc/install-osvvm.sh
+libexec/nvc/install-uvvm.sh
 man/man1/nvc.1.gz