From nobody Sun Feb 04 23:57:01 2024 X-Original-To: dev-commits-ports-all@mlmmj.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mlmmj.nyi.freebsd.org (Postfix) with ESMTP id 4TSmdT3mpVz595qh; Sun, 4 Feb 2024 23:57:01 +0000 (UTC) (envelope-from git@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4TSmdT351kz4gNF; Sun, 4 Feb 2024 23:57:01 +0000 (UTC) (envelope-from git@FreeBSD.org) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=freebsd.org; s=dkim; t=1707091021; h=from:from:reply-to:subject:subject:date:date:message-id:message-id: to:to:cc:mime-version:mime-version:content-type:content-type: content-transfer-encoding:content-transfer-encoding; bh=KebtW42pn1PyzGK7Dh2mv4yyS89uiDcn1KCUE9sZowk=; b=dKKeoCHQLZpcyoi9rbediimNATfFLnTGrNEyx3bwPeyTnMT14O4BshpLH184a97KvYx4EF PIX5pizkx663ek2Q0Xa/KVka6AB61j6uatJlvKQbc25JXE8qFgit4JTsvO+ApC27RcSBre rmeKfZ3or9ogCCjFzD+O6ZGWD6Jy9uV0uq4PssJb/VJXPQWhcxuquTxbHvdm/VDtsp8vmZ /Ard7bYINixoItUIqnDEeRueaZPjgNWzSUeVq2ZUWEc3VS9TwQLYyQ4QZ1dfG2oP2PCn2Z twhqZykTP2nM5NpSoBhd4it3Uvo4pm2bgxbZFCxHC9Mi6nMC0IPCoLEoYxrxgA== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=freebsd.org; s=dkim; t=1707091021; h=from:from:reply-to:subject:subject:date:date:message-id:message-id: to:to:cc:mime-version:mime-version:content-type:content-type: content-transfer-encoding:content-transfer-encoding; bh=KebtW42pn1PyzGK7Dh2mv4yyS89uiDcn1KCUE9sZowk=; b=uwzOGIGTe5RRMvEeqb9+yO1CwSJwioExs9QSa+lt1cwNjcR1eZpYLF9e5Pn9rwOxgZj/Ok s5euoV6aiMCHq/9sYZ6lusaGoepHIwqBgsLwD2c2QdinLD14RBKIc/k+rVwShVgobDECAc imytCNsA/K0xLzTUgihSrQsd33yyMWl7RAzfZgg+4t25tETsj1j5XhIYrrzfbGEkXCY4lL rp3NHvM1d2tsTU0TPk403/SwWceAdT1nlA1tj+DctYpWRc9BNjtCL8nmHepL1zj7vVpW4D /TgNKLSl1+wv2yxnh7ZZGd19iN2W2LD+VfdJDdcXT5/GnRGHDHZSRVyH+Iovkg== ARC-Authentication-Results: i=1; mx1.freebsd.org; none ARC-Seal: i=1; s=dkim; d=freebsd.org; t=1707091021; a=rsa-sha256; cv=none; b=HQPiCqg9qT3ViWPDQNZKEMixXjJMlQ0BXFRHcZBTV+Ni//28LfBjIDjcfokOEgyGhqbp8s 5AAJtslj5DtLEp0fb8j+0tBg38BrlOyQhS6Vvf3aCnWHpJ2pFVaH+k7MmVFXof7hCQXZSK Gx0h5Q/R1q3u8O/oGyMqr4/Nl0EmU9J1nZDTQq+oOxUwI9XFkxTKiM+pO09Lgi91oN987M MWDICWLckY60gkT5ah8qaF3jWH91TalieZuYyrFz6AVQBzJzodoO78rsxjA9+8IWNLciSS 2SE7iDusPPPZAo6OcNDgTkQFnWVJk2iMd298Y0wYl7xy0M8kXGXsSr9JCfUfTA== Received: from gitrepo.freebsd.org (gitrepo.freebsd.org [IPv6:2610:1c1:1:6068::e6a:5]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id 4TSmdT21lDzHjl; Sun, 4 Feb 2024 23:57:01 +0000 (UTC) (envelope-from git@FreeBSD.org) Received: from gitrepo.freebsd.org ([127.0.1.44]) by gitrepo.freebsd.org (8.17.1/8.17.1) with ESMTP id 414Nv10A086899; Sun, 4 Feb 2024 23:57:01 GMT (envelope-from git@gitrepo.freebsd.org) Received: (from git@localhost) by gitrepo.freebsd.org (8.17.1/8.17.1/Submit) id 414Nv1K8086896; Sun, 4 Feb 2024 23:57:01 GMT (envelope-from git) Date: Sun, 4 Feb 2024 23:57:01 GMT Message-Id: <202402042357.414Nv1K8086896@gitrepo.freebsd.org> To: ports-committers@FreeBSD.org, dev-commits-ports-all@FreeBSD.org, dev-commits-ports-branches@FreeBSD.org From: Yuri Victorovich Subject: git: a95bb0da7111 - 2024Q1 - audio/cardinal: update 22.05 =?utf-8?Q?=E2=86=92?= 23.10 List-Id: Commit messages for all branches of the ports repository List-Archive: https://lists.freebsd.org/archives/dev-commits-ports-all List-Help: List-Post: List-Subscribe: List-Unsubscribe: Sender: owner-dev-commits-ports-all@freebsd.org X-BeenThere: dev-commits-ports-all@freebsd.org MIME-Version: 1.0 Content-Type: text/plain; charset=utf-8 Content-Transfer-Encoding: 8bit X-Git-Committer: yuri X-Git-Repository: ports X-Git-Refname: refs/heads/2024Q1 X-Git-Reftype: branch X-Git-Commit: a95bb0da71114147fd72b0a3999c1e6ffbc8271c Auto-Submitted: auto-generated The branch 2024Q1 has been updated by yuri: URL: https://cgit.FreeBSD.org/ports/commit/?id=a95bb0da71114147fd72b0a3999c1e6ffbc8271c commit a95bb0da71114147fd72b0a3999c1e6ffbc8271c Author: Yuri Victorovich AuthorDate: 2024-02-04 23:17:16 +0000 Commit: Yuri Victorovich CommitDate: 2024-02-04 23:56:56 +0000 audio/cardinal: update 22.05 → 23.10 (cherry picked from commit 6cf3459260f52eea2fb58eb18d00546a051cc1e3) --- audio/cardinal/Makefile | 16 +- audio/cardinal/distinfo | 6 +- .../cardinal/files/patch-carla_source_Makefile.mk | 11 - .../files/patch-carla_source_modules_AppConfig.h | 4 +- audio/cardinal/files/patch-dpf_Makefile.base.mk | 11 - .../files/patch-include_neon-compat_pmmintrin.h | 11 - audio/cardinal/files/patch-src_Makefile | 15 +- audio/cardinal/files/patch-src_Rack_Makefile | 15 +- audio/cardinal/files/patch-src_Rack_plugin.mk | 11 - audio/cardinal/pkg-plist | 1187 +++++++++++++++++++- 10 files changed, 1204 insertions(+), 83 deletions(-) diff --git a/audio/cardinal/Makefile b/audio/cardinal/Makefile index 0fd6747f30ee..b2789bab5002 100644 --- a/audio/cardinal/Makefile +++ b/audio/cardinal/Makefile @@ -1,19 +1,20 @@ PORTNAME= cardinal -DISTVERSION= 22.05 -PORTREVISION= 2 +DISTVERSION= 23.10 CATEGORIES= audio MASTER_SITES= https://github.com/DISTRHO/Cardinal/releases/download/${DISTVERSION}/ MAINTAINER= yuri@FreeBSD.org COMMENT= Plugin wrapper around VCV Rack -WWW= https://github.com/DISTRHO/Cardinal +WWW= https://cardinal.kx.studio/ LICENSE= GPLv3 LICENSE_FILE= ${WRKSRC}/LICENSE BUILD_DEPENDS= ${LOCALBASE}/include/FuzzySearchDatabase.hpp:textproc/fuzzysearchdatabase \ - bash:shells/bash -LIB_DEPENDS= libdbus-1.so:devel/dbus \ + bash:shells/bash \ + cmake:devel/cmake-core +LIB_DEPENDS= libasound.so:audio/alsa-lib \ + libdbus-1.so:devel/dbus \ libfftw3f.so:math/fftw3-float \ libjansson.so:devel/jansson \ liblo.so:audio/liblo \ @@ -23,15 +24,16 @@ LIB_DEPENDS= libdbus-1.so:devel/dbus \ libsndfile.so:audio/libsndfile \ libspeexdsp.so:audio/speexdsp -USES= compiler:c++11-lang gl gmake libarchive localbase:ldflags pkgconfig python shebangfix tar:xz xorg +USES= compiler:c++11-lang gl gmake libarchive localbase:ldflags pkgconfig python sdl shebangfix tar:xz xorg USE_XORG= x11 xcursor xext xrandr USE_GL= gl +USE_SDL= sdl2 SHEBANG_GLOB= *.sh CXXFLAGS+= -I${LOCALBASE}/include/pffft CXXFLAGS_powerpc64le= -DNO_WARN_X86_INTRINSICS -LDFLAGS+= -lpffft +LDFLAGS+= -lpffft -lexecinfo MAKE_ENV= LD_PRELOAD=/usr/lib/libpthread.so # workaround for https://github.com/DISTRHO/Cardinal/issues/128#issuecomment-1030817359 MAKE_ARGS= SYSDEPS=true diff --git a/audio/cardinal/distinfo b/audio/cardinal/distinfo index 3826476cc6b7..05b0b321c83a 100644 --- a/audio/cardinal/distinfo +++ b/audio/cardinal/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1653111028 -SHA256 (cardinal-22.05.tar.xz) = 25ed44e06bba3d96cc04abeec788eb615cf847a0428e5222d19864cacb74d3be -SIZE (cardinal-22.05.tar.xz) = 273896508 +TIMESTAMP = 1707047591 +SHA256 (cardinal-23.10.tar.xz) = 7ee874323b4020580a71d108a18e84ba50ba62f8dd3256281fcfe004714bdfbc +SIZE (cardinal-23.10.tar.xz) = 398486408 diff --git a/audio/cardinal/files/patch-carla_source_Makefile.mk b/audio/cardinal/files/patch-carla_source_Makefile.mk deleted file mode 100644 index 8944c608eda8..000000000000 --- a/audio/cardinal/files/patch-carla_source_Makefile.mk +++ /dev/null @@ -1,11 +0,0 @@ ---- carla/source/Makefile.mk.orig 2022-05-21 05:42:01 UTC -+++ carla/source/Makefile.mk -@@ -86,7 +86,7 @@ endif - - ifneq ($(MACOS),true) - ifneq ($(WIN32),true) --BASE_FLAGS += -fno-gnu-unique -+#BASE_FLAGS += -fno-gnu-unique - endif - endif - diff --git a/audio/cardinal/files/patch-carla_source_modules_AppConfig.h b/audio/cardinal/files/patch-carla_source_modules_AppConfig.h index f8f42a016f99..c40fdab1dc24 100644 --- a/audio/cardinal/files/patch-carla_source_modules_AppConfig.h +++ b/audio/cardinal/files/patch-carla_source_modules_AppConfig.h @@ -1,8 +1,8 @@ - for now treat FreeBSD as Linux for simplicity ---- carla/source/modules/AppConfig.h.orig 2022-05-15 13:40:22 UTC +--- carla/source/modules/AppConfig.h.orig 2023-10-24 08:52:54 UTC +++ carla/source/modules/AppConfig.h -@@ -27,7 +27,7 @@ +@@ -33,7 +33,7 @@ # define APPCONFIG_OS_WIN32 #elif defined(__APPLE__) # define APPCONFIG_OS_MAC diff --git a/audio/cardinal/files/patch-dpf_Makefile.base.mk b/audio/cardinal/files/patch-dpf_Makefile.base.mk deleted file mode 100644 index 8a25a3ca2f50..000000000000 --- a/audio/cardinal/files/patch-dpf_Makefile.base.mk +++ /dev/null @@ -1,11 +0,0 @@ ---- dpf/Makefile.base.mk.orig 2022-05-21 05:45:04 UTC -+++ dpf/Makefile.base.mk -@@ -173,7 +173,7 @@ BASE_OPTS = -O2 -ffast-math -fdata-sections -ffunctio - endif - - ifneq ($(MACOS_OR_WINDOWS),true) --BASE_FLAGS += -fno-gnu-unique -+#BASE_FLAGS += -fno-gnu-unique - endif - - ifeq ($(WINDOWS),true) diff --git a/audio/cardinal/files/patch-include_neon-compat_pmmintrin.h b/audio/cardinal/files/patch-include_neon-compat_pmmintrin.h deleted file mode 100644 index 4ca34e062f6e..000000000000 --- a/audio/cardinal/files/patch-include_neon-compat_pmmintrin.h +++ /dev/null @@ -1,11 +0,0 @@ ---- include/neon-compat/pmmintrin.h.orig 2023-08-16 16:08:02 UTC -+++ include/neon-compat/pmmintrin.h -@@ -17,7 +17,7 @@ - - #pragma once - --#if defined(__i386__) || defined(__x86_64__) || defined(__EMSCRIPTEN__) -+#if defined(__i386__) || defined(__x86_64__) || defined(__EMSCRIPTEN__) || defined(__powerpc64__) - # include_next - #else - # include "../sse2neon/sse2neon.h" diff --git a/audio/cardinal/files/patch-src_Makefile b/audio/cardinal/files/patch-src_Makefile index 525c1d257518..176f1542dba1 100644 --- a/audio/cardinal/files/patch-src_Makefile +++ b/audio/cardinal/files/patch-src_Makefile @@ -1,18 +1,9 @@ - use pffft from the package, see https://github.com/DISTRHO/Cardinal/issues/130 ---- src/Makefile.orig 2022-05-15 13:39:19 UTC +--- src/Makefile.orig 2023-10-24 08:52:03 UTC +++ src/Makefile -@@ -55,7 +55,7 @@ BASE_FLAGS += -IRack/dep/glfw/include - BASE_FLAGS += -IRack/dep/nanosvg/src - BASE_FLAGS += -IRack/dep/osdialog - BASE_FLAGS += -IRack/dep/oui-blendish --BASE_FLAGS += -IRack/dep/pffft -+#BASE_FLAGS += -IRack/dep/pffft - - ifeq ($(DEBUG),true) - BASE_FLAGS += -UDEBUG -@@ -122,8 +122,8 @@ RACK_FILES += override/MenuBar.cpp - RACK_FILES += override/Model.cpp +@@ -48,8 +48,8 @@ RACK_FILES += override/Scene.cpp + RACK_FILES += override/OpenGlWidget.cpp RACK_FILES += override/Scene.cpp -RACK_FILES += Rack/dep/pffft/pffft.c diff --git a/audio/cardinal/files/patch-src_Rack_Makefile b/audio/cardinal/files/patch-src_Rack_Makefile index 8e30cc75fee5..66f73431b6b2 100644 --- a/audio/cardinal/files/patch-src_Rack_Makefile +++ b/audio/cardinal/files/patch-src_Rack_Makefile @@ -1,20 +1,11 @@ ---- src/Rack/Makefile.orig 2022-05-15 13:41:31 UTC +--- src/Rack/Makefile.orig 2023-10-24 08:54:55 UTC +++ src/Rack/Makefile -@@ -12,7 +12,7 @@ include arch.mk +@@ -11,7 +11,7 @@ SOURCES += dep/oui-blendish/blendish.c SOURCES += dep/nanovg/src/nanovg.c SOURCES += dep/osdialog/osdialog.c SOURCES += dep/oui-blendish/blendish.c -SOURCES += dep/pffft/pffft.c dep/pffft/fftpack.c +#SOURCES += dep/pffft/pffft.c dep/pffft/fftpack.c + SOURCES += dep/tinyexpr/tinyexpr.c SOURCES += $(wildcard src/*.c src/*/*.c) SOURCES += $(wildcard src/*.cpp src/*/*.cpp) - -@@ -31,7 +31,7 @@ ifdef ARCH_LIN - - # This prevents static variables in the DSO (dynamic shared object) from being preserved after dlclose(). - # I don't really understand the side effects (see GCC manual), but so far tests are positive. -- FLAGS += -fno-gnu-unique -+ #FLAGS += -fno-gnu-unique - - LDFLAGS += -Wl,--whole-archive - LDFLAGS += -static-libstdc++ -static-libgcc diff --git a/audio/cardinal/files/patch-src_Rack_plugin.mk b/audio/cardinal/files/patch-src_Rack_plugin.mk deleted file mode 100644 index d27b74425316..000000000000 --- a/audio/cardinal/files/patch-src_Rack_plugin.mk +++ /dev/null @@ -1,11 +0,0 @@ ---- src/Rack/plugin.mk.orig 2022-05-21 05:37:01 UTC -+++ src/Rack/plugin.mk -@@ -26,7 +26,7 @@ include $(RACK_DIR)/arch.mk - ifdef ARCH_LIN - TARGET := plugin.so - # This prevents static variables in the DSO (dynamic shared object) from being preserved after dlclose(). -- FLAGS += -fno-gnu-unique -+ #FLAGS += -fno-gnu-unique - # When Rack loads a plugin, it symlinks /tmp/Rack2 to its system dir, so the plugin can link to libRack. - LDFLAGS += -Wl,-rpath=/tmp/Rack2 - # Since the plugin's compiler could be a different version than Rack's compiler, link libstdc++ and libgcc statically to avoid ABI issues. diff --git a/audio/cardinal/pkg-plist b/audio/cardinal/pkg-plist index 343ab4fdd29f..83eea396204f 100644 --- a/audio/cardinal/pkg-plist +++ b/audio/cardinal/pkg-plist @@ -1,10 +1,21 @@ bin/Cardinal +bin/CardinalMini +bin/CardinalNative +lib/clap/Cardinal.clap/Cardinal.clap +lib/clap/Cardinal.clap/CardinalFX.clap +lib/clap/Cardinal.clap/CardinalSynth.clap lib/lv2/Cardinal.lv2/Cardinal.so lib/lv2/Cardinal.lv2/Cardinal.ttl lib/lv2/Cardinal.lv2/manifest.ttl lib/lv2/CardinalFX.lv2/CardinalFX.so lib/lv2/CardinalFX.lv2/CardinalFX.ttl lib/lv2/CardinalFX.lv2/manifest.ttl +lib/lv2/CardinalMini.lv2/CardinalMini_dsp.so +lib/lv2/CardinalMini.lv2/CardinalMini_dsp.ttl +lib/lv2/CardinalMini.lv2/CardinalMini_ui.so +lib/lv2/CardinalMini.lv2/CardinalMini_ui.ttl +lib/lv2/CardinalMini.lv2/manifest.ttl +lib/lv2/CardinalMini.lv2/modgui.ttl lib/lv2/CardinalSynth.lv2/CardinalSynth.so lib/lv2/CardinalSynth.lv2/CardinalSynth.ttl lib/lv2/CardinalSynth.lv2/manifest.ttl @@ -24,6 +35,85 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/8Mode/res/8Mode_ss_0.svg %%DATADIR%%/8Mode/res/8Mode_ss_1.svg %%DATADIR%%/8Mode/res/SNsoft_Panel.svg +%%DATADIR%%/AS/res/2chMixer.svg +%%DATADIR%%/AS/res/4chMixer.svg +%%DATADIR%%/AS/res/8chMixer.svg +%%DATADIR%%/AS/res/ADSR.svg +%%DATADIR%%/AS/res/AtNuVrTr.svg +%%DATADIR%%/AS/res/BPMCalc.svg +%%DATADIR%%/AS/res/BPMCalc2.svg +%%DATADIR%%/AS/res/BPMClock.svg +%%DATADIR%%/AS/res/Blanks/BlankPanel4.svg +%%DATADIR%%/AS/res/Blanks/BlankPanel6.svg +%%DATADIR%%/AS/res/Blanks/BlankPanel8.svg +%%DATADIR%%/AS/res/Blanks/as-BlankPanelSpecial0.svg +%%DATADIR%%/AS/res/Blanks/as-BlankPanelSpecial1.svg +%%DATADIR%%/AS/res/Blanks/as-BlankPanelSpecial2.svg +%%DATADIR%%/AS/res/Blanks/as-BlankPanelSpecial3.svg +%%DATADIR%%/AS/res/Blanks/as-BlankPanelSpecial4.svg +%%DATADIR%%/AS/res/CV2T.svg +%%DATADIR%%/AS/res/DelayPlus.svg +%%DATADIR%%/AS/res/DelayPlusStereo.svg +%%DATADIR%%/AS/res/Flow.svg +%%DATADIR%%/AS/res/KillGate.svg +%%DATADIR%%/AS/res/LaunchGate.svg +%%DATADIR%%/AS/res/Merge2_5.svg +%%DATADIR%%/AS/res/MonoVUmeter.svg +%%DATADIR%%/AS/res/Multiple2_5.svg +%%DATADIR%%/AS/res/Phaser.svg +%%DATADIR%%/AS/res/QuadVCA.svg +%%DATADIR%%/AS/res/ReScale.svg +%%DATADIR%%/AS/res/Reverb.svg +%%DATADIR%%/AS/res/ReverbStereo.svg +%%DATADIR%%/AS/res/SEQ16.svg +%%DATADIR%%/AS/res/SawOSC.svg +%%DATADIR%%/AS/res/Segment7Standard.ttf +%%DATADIR%%/AS/res/SignalDelay.svg +%%DATADIR%%/AS/res/SineOSC.svg +%%DATADIR%%/AS/res/Steps.svg +%%DATADIR%%/AS/res/StereoVUmeter.svg +%%DATADIR%%/AS/res/SuperDrive.svg +%%DATADIR%%/AS/res/SuperDriveStereo.svg +%%DATADIR%%/AS/res/Tremolo.svg +%%DATADIR%%/AS/res/TremoloStereo.svg +%%DATADIR%%/AS/res/Triggers.svg +%%DATADIR%%/AS/res/TriggersMKI.svg +%%DATADIR%%/AS/res/TriggersMKII.svg +%%DATADIR%%/AS/res/TriggersMKIII.svg +%%DATADIR%%/AS/res/VCA.svg +%%DATADIR%%/AS/res/WaveShaper.svg +%%DATADIR%%/AS/res/WaveShaperStereo.svg +%%DATADIR%%/AS/res/ZeroCV2T.svg +%%DATADIR%%/AS/res/as_CKSSH_0.svg +%%DATADIR%%/AS/res/as_CKSSH_1.svg +%%DATADIR%%/AS/res/as_CKSSThree_0.svg +%%DATADIR%%/AS/res/as_CKSSThree_1.svg +%%DATADIR%%/AS/res/as_CKSSThree_2.svg +%%DATADIR%%/AS/res/as_CKSS_0.svg +%%DATADIR%%/AS/res/as_CKSS_1.svg +%%DATADIR%%/AS/res/as_CKSS_white_0.svg +%%DATADIR%%/AS/res/as_CKSS_white_1.svg +%%DATADIR%%/AS/res/as_FaderPot.svg +%%DATADIR%%/AS/res/as_FxKnobBlack.svg +%%DATADIR%%/AS/res/as_FxKnobBlack_bg.svg +%%DATADIR%%/AS/res/as_FxKnobWhite.svg +%%DATADIR%%/AS/res/as_FxKnobWhite_bg.svg +%%DATADIR%%/AS/res/as_JumboLEDBezel44_0.svg +%%DATADIR%%/AS/res/as_JumboLEDBezel44_1.svg +%%DATADIR%%/AS/res/as_JumboLEDBezel60_0.svg +%%DATADIR%%/AS/res/as_JumboLEDBezel60_1.svg +%%DATADIR%%/AS/res/as_LFO.svg +%%DATADIR%%/AS/res/as_PJ301M.svg +%%DATADIR%%/AS/res/as_PJ301M_output_port.svg +%%DATADIR%%/AS/res/as_SlidePot.svg +%%DATADIR%%/AS/res/as_SlidePotHandle.svg +%%DATADIR%%/AS/res/as_bigLEDBezel.svg +%%DATADIR%%/AS/res/as_hexscrew.svg +%%DATADIR%%/AS/res/as_knob.svg +%%DATADIR%%/AS/res/as_knobBlack.svg +%%DATADIR%%/AS/res/as_knobBlack_bg.svg +%%DATADIR%%/AS/res/as_knob_bg.svg +%%DATADIR%%/AS/res/saxmono.ttf %%DATADIR%%/AaronStatic/res/ChordCV.svg %%DATADIR%%/AaronStatic/res/DiatonicCV.svg %%DATADIR%%/AaronStatic/res/RandomNoteCV.svg @@ -414,6 +504,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/BaconPlugs/res/1f60d.svg %%DATADIR%%/BaconPlugs/res/1f953.svg %%DATADIR%%/BaconPlugs/res/BaconSliderHandle.svg +%%DATADIR%%/BaconPlugs/res/FiraMono-Regular.ttf %%DATADIR%%/BaconPlugs/res/HelpActive.svg %%DATADIR%%/BaconPlugs/res/HelpActiveSmall.svg %%DATADIR%%/BaconPlugs/res/HelpGraySmall.svg @@ -493,6 +584,8 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Befaco/res/components/BefacoTinyKnobLightGrey_bg.svg %%DATADIR%%/Befaco/res/components/BefacoTinyKnobRed_bg.svg %%DATADIR%%/Befaco/res/components/BefacoTinyPointWhite.svg +%%DATADIR%%/Befaco/res/components/CKSSThree_bg.svg +%%DATADIR%%/Befaco/res/components/CKSSThree_fg.svg %%DATADIR%%/Befaco/res/components/CrossfaderBackground.svg %%DATADIR%%/Befaco/res/components/CrossfaderHandle.svg %%DATADIR%%/Befaco/res/components/Davies1900hBlack.svg @@ -510,6 +603,10 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Befaco/res/components/SwitchNarrow_0.svg %%DATADIR%%/Befaco/res/components/SwitchNarrow_1.svg %%DATADIR%%/Befaco/res/components/SwitchNarrow_2.svg +%%DATADIR%%/Befaco/res/components/SwitchTallVert_bg.svg +%%DATADIR%%/Befaco/res/components/SwitchTallVert_fg.svg +%%DATADIR%%/Befaco/res/components/SwitchWideHoriz_bg.svg +%%DATADIR%%/Befaco/res/components/SwitchWideHoriz_fg.svg %%DATADIR%%/Befaco/res/fonts/Segment7Standard.otf %%DATADIR%%/Befaco/res/panels/ABC.svg %%DATADIR%%/Befaco/res/panels/ADSR.svg @@ -521,9 +618,11 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Befaco/res/panels/Mex.svg %%DATADIR%%/Befaco/res/panels/Mixer.svg %%DATADIR%%/Befaco/res/panels/Morphader.svg +%%DATADIR%%/Befaco/res/panels/MotionMTR.svg %%DATADIR%%/Befaco/res/panels/Muxlicer.svg %%DATADIR%%/Befaco/res/panels/NoisePlethora.svg %%DATADIR%%/Befaco/res/panels/Percall.svg +%%DATADIR%%/Befaco/res/panels/PonyVCO.svg %%DATADIR%%/Befaco/res/panels/Rampage.svg %%DATADIR%%/Befaco/res/panels/STMix.svg %%DATADIR%%/Befaco/res/panels/SamplingModulator.svg @@ -635,6 +734,8 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Bidoo/res/DUKE.svg %%DATADIR%%/Bidoo/res/EDSAROS.svg %%DATADIR%%/Bidoo/res/EMILE.svg +%%DATADIR%%/Bidoo/res/ENCORE.svg +%%DATADIR%%/Bidoo/res/ENCOREExpander.svg %%DATADIR%%/Bidoo/res/FLAME.svg %%DATADIR%%/Bidoo/res/FORK.svg %%DATADIR%%/Bidoo/res/FREIN.svg @@ -659,6 +760,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Bidoo/res/REI.svg %%DATADIR%%/Bidoo/res/SIGMA.svg %%DATADIR%%/Bidoo/res/SPORE.svg +%%DATADIR%%/Bidoo/res/TAPE.svg %%DATADIR%%/Bidoo/res/TIARE.svg %%DATADIR%%/Bidoo/res/TOCANTE.svg %%DATADIR%%/Bidoo/res/VOID.svg @@ -1070,6 +1172,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/BogaudioModules/res/port.svg %%DATADIR%%/BogaudioModules/res/slider_switch_2_14px_0.svg %%DATADIR%%/BogaudioModules/res/slider_switch_2_14px_1.svg +%%DATADIR%%/Cardinal/res/AIDA-X.svg %%DATADIR%%/Cardinal/res/AudioFile.svg %%DATADIR%%/Cardinal/res/AudioToCVPitch.svg %%DATADIR%%/Cardinal/res/Blank.svg @@ -1082,10 +1185,15 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Cardinal/res/HostMIDIGate.svg %%DATADIR%%/Cardinal/res/HostMIDIMap.svg %%DATADIR%%/Cardinal/res/HostParameters.svg +%%DATADIR%%/Cardinal/res/HostParamsMap.svg %%DATADIR%%/Cardinal/res/HostTime.svg %%DATADIR%%/Cardinal/res/Ildaeil.svg %%DATADIR%%/Cardinal/res/MPV.svg %%DATADIR%%/Cardinal/res/Miku/Miku.png +%%DATADIR%%/Cardinal/res/aida-x-background-p2.png +%%DATADIR%%/Cardinal/res/aida-x-knob.svg +%%DATADIR%%/Cardinal/res/aida-x-logo.png +%%DATADIR%%/Cardinal/res/aida-x-scale.svg %%DATADIR%%/Cardinal/res/glBars.svg %%DATADIR%%/CatroModulo/res/CM-1.svg %%DATADIR%%/CatroModulo/res/CM-10.svg @@ -1216,6 +1324,8 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/ComponentLibrary/PJ3410.svg %%DATADIR%%/ComponentLibrary/Plug.svg %%DATADIR%%/ComponentLibrary/PlugPort.svg +%%DATADIR%%/ComponentLibrary/Rail-hcdark.svg +%%DATADIR%%/ComponentLibrary/Rail-light.svg %%DATADIR%%/ComponentLibrary/Rail.svg %%DATADIR%%/ComponentLibrary/Rogan1PBlue.svg %%DATADIR%%/ComponentLibrary/Rogan1PBlue_fg.svg @@ -1427,6 +1537,12 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/DrumKit/res/samples/tomi/13.raw %%DATADIR%%/DrumKit/res/samples/tomi/14.raw %%DATADIR%%/ESeries/res/E340.svg +%%DATADIR%%/EnigmaCurry/res/10hp.svg +%%DATADIR%%/EnigmaCurry/res/3hp.svg +%%DATADIR%%/EnigmaCurry/res/6hp.svg +%%DATADIR%%/EnigmaCurry/res/fonts/Fantasque/FantasqueSansMono-Regular.ttf +%%DATADIR%%/EnigmaCurry/res/fonts/dseg/DSEG14Modern-Regular.ttf +%%DATADIR%%/EnigmaCurry/res/fonts/manrope/Manrope-Regular.ttf %%DATADIR%%/ExpertSleepers-Encoders/res/8CV.svg %%DATADIR%%/ExpertSleepers-Encoders/res/8GT.svg %%DATADIR%%/ExpertSleepers-Encoders/res/Calibrator.svg @@ -1568,6 +1684,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Fundamental/res/WTLFO.svg %%DATADIR%%/Fundamental/res/WTVCO.svg %%DATADIR%%/Fundamental/res/components/ADSR-bg.svg +%%DATADIR%%/Fundamental/res/components/Quantizer-keyboard.svg %%DATADIR%%/Fundamental/res/components/Scope-bg.svg %%DATADIR%%/Fundamental/res/components/button-off.svg %%DATADIR%%/Fundamental/res/components/button-on.svg @@ -1683,6 +1800,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/GrandeModular/res/BlackButton0.svg %%DATADIR%%/GrandeModular/res/BlackButton1.svg %%DATADIR%%/GrandeModular/res/Clip.svg +%%DATADIR%%/GrandeModular/res/Compare3.svg %%DATADIR%%/GrandeModular/res/LFO3.svg %%DATADIR%%/GrandeModular/res/LFO4.svg %%DATADIR%%/GrandeModular/res/Logic.svg @@ -1718,6 +1836,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/GrandeModular/res/SquareButton1.svg %%DATADIR%%/GrandeModular/res/SquareButton1dim.svg %%DATADIR%%/GrandeModular/res/Tails.svg +%%DATADIR%%/GrandeModular/res/Tails4.svg %%DATADIR%%/GrandeModular/res/TinyLightFlat.svg %%DATADIR%%/GrandeModular/res/TriangleLEDButton0.svg %%DATADIR%%/GrandeModular/res/TriangleLEDButton1.svg @@ -2185,8 +2304,25 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Meander/res/Meander.png %%DATADIR%%/Meander/res/Meander.svg %%DATADIR%%/Meander/res/OFL.txt +%%DATADIR%%/Meander/res/Progression-Edit-Panel-Help.png %%DATADIR%%/Meander/res/TinyPJ301M.svg %%DATADIR%%/Meander/res/Ubuntu Condensed 400.ttf +%%DATADIR%%/MindMeldModular/presets/PatchMaster/ADSR Faders.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/ADSR Knobs.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Delay Mono.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Delay Stereo.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Empty.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Granular.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/LFO.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Level-Mute.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Looper.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Mixer.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Plaits.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Plateau.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Sampler.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/VCF.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/VCO.vcvm +%%DATADIR%%/MindMeldModular/presets/PatchMaster/Wave Folder.vcvm %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityPresets/Circadian Sound/Creative/Pluck Swell (Warped).smpr %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityPresets/Circadian Sound/Creative/Pluck(Ping)-Swell(Pong).smpr %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityPresets/Circadian Sound/Creative/Ramp (Riser).smpr @@ -2456,6 +2592,36 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/Circadian Sound/Stepped/Quant Melo 5.smsh %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/Circadian Sound/Stepped/Quant Melo 6.smsh %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/CommunityShapes.txt +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Computational.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Databend.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Fall from 128.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Fallacy.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Insect Sequence.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Jitters.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Meow.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Motion Swap.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pandemonium.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 1.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 10.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 11.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 12.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 2.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 3.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 4.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 5.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 6.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 7.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 8.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Pattern 9.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Quantify.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Quick Fall.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Shake.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Sputterstep.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Switcher.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Trapedic.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Waverider.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Wobbledown.smsh +%%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/DATABROTH/Woggle.smsh %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/Eurikon/Controllah/1 - Controllah.smsh %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/Eurikon/Controllah/2 - Controllah.smsh %%DATADIR%%/MindMeldModular/res/ShapeMaster/CommunityShapes/Eurikon/Controllah/3 - Controllah.smsh @@ -3003,6 +3169,10 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/MindMeldModular/res/comp/bass/solo-round-on.svg %%DATADIR%%/MindMeldModular/res/comp/big-knob-pointer.svg %%DATADIR%%/MindMeldModular/res/comp/bigger-knob-pointer.svg +%%DATADIR%%/MindMeldModular/res/comp/dots-7.5_120.svg +%%DATADIR%%/MindMeldModular/res/comp/dots-7.5c_120.svg +%%DATADIR%%/MindMeldModular/res/comp/dots-8.0_112.svg +%%DATADIR%%/MindMeldModular/res/comp/dots-8.0c_112.svg %%DATADIR%%/MindMeldModular/res/comp/eq/band1-off.svg %%DATADIR%%/MindMeldModular/res/comp/eq/band1-on.svg %%DATADIR%%/MindMeldModular/res/comp/eq/band2-off.svg @@ -3034,6 +3204,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/MindMeldModular/res/comp/knob-red-7.5.svg %%DATADIR%%/MindMeldModular/res/comp/knob-red-8.svg %%DATADIR%%/MindMeldModular/res/comp/led-button.svg +%%DATADIR%%/MindMeldModular/res/comp/logo.svg %%DATADIR%%/MindMeldModular/res/comp/mixer/dim-off.svg %%DATADIR%%/MindMeldModular/res/comp/mixer/dim-on.svg %%DATADIR%%/MindMeldModular/res/comp/mixer/fade-off.svg @@ -3053,6 +3224,17 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/MindMeldModular/res/comp/mixer/mute-on.svg %%DATADIR%%/MindMeldModular/res/comp/mixer/solo-off.svg %%DATADIR%%/MindMeldModular/res/comp/mixer/solo-on.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/Omri-logo.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/Trimpot.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/Trimpot_bg.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/button-lg.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/button-md.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/button-sm.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/fader-large-bg.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/fader-xlarge-bg.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/fader-xxlarge-bg.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/knob-bg-13.svg +%%DATADIR%%/MindMeldModular/res/comp/patchset/knob-grey-13.svg %%DATADIR%%/MindMeldModular/res/comp/round-button4-grey.svg %%DATADIR%%/MindMeldModular/res/comp/shape/E1-on.svg %%DATADIR%%/MindMeldModular/res/comp/shape/E2-on.svg @@ -3086,12 +3268,57 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/MindMeldModular/res/dark/auxspander-jr.svg %%DATADIR%%/MindMeldModular/res/dark/auxspander.svg %%DATADIR%%/MindMeldModular/res/dark/eqmaster.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Aux-A-D-Grps.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Aux-A.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Aux-B.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Aux-C.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Aux-D.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Bus-M_S.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Bus-Snd-Pan-Rtn.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/M_S-Grp-Mstr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Mute-Grps.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Mute.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/16track/Solo.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/Aux-A-D-GrpsJr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/Aux-A_B-1-8Jr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/Aux-C_D-1-8Jr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/Aux-M-1-8-GrpsJr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/M_S-1-8Jr.svg +%%DATADIR%%/MindMeldModular/res/dark/meld/8track/M_S-Grp-MstrJr.svg %%DATADIR%%/MindMeldModular/res/dark/meld/meld-1-8.svg %%DATADIR%%/MindMeldModular/res/dark/meld/meld-9-16.svg %%DATADIR%%/MindMeldModular/res/dark/meld/meld-grp-aux.svg %%DATADIR%%/MindMeldModular/res/dark/mixmaster-jr.svg %%DATADIR%%/MindMeldModular/res/dark/mixmaster.svg %%DATADIR%%/MindMeldModular/res/dark/ms-melder.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/RouteMaster1to5.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/RouteMaster1to5stereo.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/RouteMaster5to1.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/RouteMaster5to1stereo.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/master-channel.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-bg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-blank-smaller.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-blank-xsm.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-blank-xxsm.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-button-lg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-button-md.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-button-sm.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-lg-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-lg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-xlg-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-xlg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-xxlg-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-fader-xxlg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-lg-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-lg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-md-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-md.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-sm-u.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-knob-sm.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-vsep-bg-noline.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/pm-vsep-bg.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/rm-ctrl-four.svg +%%DATADIR%%/MindMeldModular/res/dark/patchset/title-divider.svg %%DATADIR%%/MindMeldModular/res/dark/unmeld-1-8.svg %%DATADIR%%/MindMeldModular/res/dark/unmeld-9-16.svg %%DATADIR%%/MindMeldModular/res/dark/unmeld-grp-aux.svg @@ -3269,6 +3496,8 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/PathSet/res/GlassPane.svg %%DATADIR%%/PathSet/res/IceTray.svg %%DATADIR%%/PathSet/res/Nudge.svg +%%DATADIR%%/PathSet/res/OneShot.svg +%%DATADIR%%/PathSet/res/PlusPane.svg %%DATADIR%%/PathSet/res/ShiftyExpander.svg %%DATADIR%%/PathSet/res/ShiftyMod.svg %%DATADIR%%/PathSet/res/WhiteKnob.svg @@ -3289,6 +3518,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/PinkTrombone/res/pinktromboneold.svg %%DATADIR%%/PluginManifests/21kHz.json %%DATADIR%%/PluginManifests/8Mode.json +%%DATADIR%%/PluginManifests/AS.json %%DATADIR%%/PluginManifests/AaronStatic.json %%DATADIR%%/PluginManifests/Algoritmarte.json %%DATADIR%%/PluginManifests/AmalgamatedHarmonics.json @@ -3307,6 +3537,7 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/PluginManifests/ChowDSP.json %%DATADIR%%/PluginManifests/DrumKit.json %%DATADIR%%/PluginManifests/ESeries.json +%%DATADIR%%/PluginManifests/EnigmaCurry.json %%DATADIR%%/PluginManifests/ExpertSleepers-Encoders.json %%DATADIR%%/PluginManifests/Extratone.json %%DATADIR%%/PluginManifests/FehlerFabrik.json @@ -3334,19 +3565,29 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/PluginManifests/PathSet.json %%DATADIR%%/PluginManifests/PinkTrombone.json %%DATADIR%%/PluginManifests/Prism.json +%%DATADIR%%/PluginManifests/RebelTech.json +%%DATADIR%%/PluginManifests/Sapphire.json %%DATADIR%%/PluginManifests/ValleyAudio.json %%DATADIR%%/PluginManifests/WhatTheRack.json %%DATADIR%%/PluginManifests/ZZC.json +%%DATADIR%%/PluginManifests/ZamAudio.json %%DATADIR%%/PluginManifests/ZetaCarinaeModules.json +%%DATADIR%%/PluginManifests/alefsbits.json %%DATADIR%%/PluginManifests/cf.json +%%DATADIR%%/PluginManifests/dBiz.json +%%DATADIR%%/PluginManifests/forsitan-modulare.json +%%DATADIR%%/PluginManifests/h4n4-modules.json %%DATADIR%%/PluginManifests/ihtsyn.json %%DATADIR%%/PluginManifests/kocmoc.json %%DATADIR%%/PluginManifests/mscHack.json +%%DATADIR%%/PluginManifests/myth-modules.json %%DATADIR%%/PluginManifests/nonlinearcircuits.json %%DATADIR%%/PluginManifests/rackwindows.json %%DATADIR%%/PluginManifests/repelzen.json %%DATADIR%%/PluginManifests/sonusmodular.json %%DATADIR%%/PluginManifests/stocaudio.json +%%DATADIR%%/PluginManifests/stoermelder-packone.json +%%DATADIR%%/PluginManifests/surgext.json %%DATADIR%%/PluginManifests/unless_modules.json %%DATADIR%%/PluginManifests/voxglitch.json %%DATADIR%%/Prism/res/ComponentLibrary/PrismButton_0.svg @@ -3384,6 +3625,32 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/Prism/res/RobotoCondensed-Regular.ttf %%DATADIR%%/Prism/res/prism_Droplet.svg %%DATADIR%%/Prism/res/prism_Rainbow.svg +%%DATADIR%%/RebelTech/res/components/BefacoInputPort.svg +%%DATADIR%%/RebelTech/res/components/BefacoOutputPort.svg +%%DATADIR%%/RebelTech/res/components/Pot.svg +%%DATADIR%%/RebelTech/res/components/Pot_bg.svg +%%DATADIR%%/RebelTech/res/components/TonicButton_0.svg +%%DATADIR%%/RebelTech/res/components/TonicButton_1.svg +%%DATADIR%%/RebelTech/res/panels/CLK.svg +%%DATADIR%%/RebelTech/res/panels/CLK_drk.svg +%%DATADIR%%/RebelTech/res/panels/Klasmata.svg +%%DATADIR%%/RebelTech/res/panels/Klasmata_drk.svg +%%DATADIR%%/RebelTech/res/panels/Logoi.svg +%%DATADIR%%/RebelTech/res/panels/Logoi_drk.svg +%%DATADIR%%/RebelTech/res/panels/Phoreo.svg +%%DATADIR%%/RebelTech/res/panels/Phoreo_drk.svg +%%DATADIR%%/RebelTech/res/panels/Stoicheia.svg +%%DATADIR%%/RebelTech/res/panels/Stoicheia_drk.svg +%%DATADIR%%/RebelTech/res/panels/Tonic.svg +%%DATADIR%%/RebelTech/res/panels/Tonic_drk.svg +%%DATADIR%%/Sapphire/res/elastika.svg +%%DATADIR%%/Sapphire/res/moots.svg +%%DATADIR%%/Sapphire/res/port.svg +%%DATADIR%%/Sapphire/res/tubeunit.svg +%%DATADIR%%/Sapphire/res/tubeunit_audio_path.svg +%%DATADIR%%/Sapphire/res/tubeunit_labels.svg +%%DATADIR%%/Sapphire/res/tubeunit_seal.svg +%%DATADIR%%/Sapphire/res/tubeunit_vent.svg %%DATADIR%%/ValleyAudio/res/AmalgamPanelDark.svg %%DATADIR%%/ValleyAudio/res/AmalgamPanelLight.svg %%DATADIR%%/ValleyAudio/res/DSEG14Classic-Italic.ttf @@ -3605,6 +3872,16 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/ZZC/res/switches/ZZC-Switch-3_2.svg %%DATADIR%%/ZZC/res/switches/ZZC-VBPSVOCT-Switch_0.svg %%DATADIR%%/ZZC/res/switches/ZZC-VBPSVOCT-Switch_1.svg +%%DATADIR%%/ZamAudio/res/ZamComp.svg +%%DATADIR%%/ZamAudio/res/components/ADSR-bg.svg +%%DATADIR%%/ZamAudio/res/components/Scope-bg.svg +%%DATADIR%%/ZamAudio/res/components/button-off.svg +%%DATADIR%%/ZamAudio/res/components/button-on.svg +%%DATADIR%%/ZamAudio/res/components/knob-marker-small.svg +%%DATADIR%%/ZamAudio/res/components/knob-marker.svg +%%DATADIR%%/ZamAudio/res/components/knob-small.svg +%%DATADIR%%/ZamAudio/res/components/knob.svg +%%DATADIR%%/ZamAudio/res/components/port.svg %%DATADIR%%/ZetaCarinaeModules/res/BrownianBridge.svg %%DATADIR%%/ZetaCarinaeModules/res/FireflyPlate.svg %%DATADIR%%/ZetaCarinaeModules/res/GuildensTurnPlate.svg @@ -3613,6 +3890,18 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/ZetaCarinaeModules/res/RosenchancePlate.svg %%DATADIR%%/ZetaCarinaeModules/res/RosslerRustlerPlate.svg %%DATADIR%%/ZetaCarinaeModules/res/WarblerPlate.svg +%%DATADIR%%/alefsbits/res/blank6hp.svg +%%DATADIR%%/alefsbits/res/fibb.svg +%%DATADIR%%/alefsbits/res/logic.svg +%%DATADIR%%/alefsbits/res/math.svg +%%DATADIR%%/alefsbits/res/mlt.svg +%%DATADIR%%/alefsbits/res/noize.svg +%%DATADIR%%/alefsbits/res/octsclr.svg +%%DATADIR%%/alefsbits/res/polyrand.svg +%%DATADIR%%/alefsbits/res/probablynot.svg +%%DATADIR%%/alefsbits/res/shift.svg +%%DATADIR%%/alefsbits/res/simplexandhold.svg +%%DATADIR%%/alefsbits/res/steps.svg %%DATADIR%%/cf/playeroscs/noisepink.wav %%DATADIR%%/cf/playeroscs/noisewhite.wav %%DATADIR%%/cf/playeroscs/osc1.wav @@ -3669,6 +3958,161 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/cf/res/trSEQ.svg %%DATADIR%%/cf/res/upButton.svg %%DATADIR%%/cf/res/upButtonDown.svg +%%DATADIR%%/dBiz/res/Dark/Bench.svg +%%DATADIR%%/dBiz/res/Dark/Bene.svg +%%DATADIR%%/dBiz/res/Dark/BenePad.svg +%%DATADIR%%/dBiz/res/Dark/BigSmorph.svg +%%DATADIR%%/dBiz/res/Dark/Chord.svg +%%DATADIR%%/dBiz/res/Dark/Contorno.svg +%%DATADIR%%/dBiz/res/Dark/ContornoExpander.svg +%%DATADIR%%/dBiz/res/Dark/ContornoMK2.svg +%%DATADIR%%/dBiz/res/Dark/DAOSC.svg +%%DATADIR%%/dBiz/res/Dark/DVCO.svg +%%DATADIR%%/dBiz/res/Dark/Divider.svg +%%DATADIR%%/dBiz/res/Dark/DrMix.svg +%%DATADIR%%/dBiz/res/Dark/DrMixExt.svg +%%DATADIR%%/dBiz/res/Dark/DualFilter.svg +%%DATADIR%%/dBiz/res/Dark/DualMatrix.svg +%%DATADIR%%/dBiz/res/Dark/FourSeq.svg +%%DATADIR%%/dBiz/res/Dark/Multiple.svg +%%DATADIR%%/dBiz/res/Dark/NavControl.svg +%%DATADIR%%/dBiz/res/Dark/Order.svg +%%DATADIR%%/dBiz/res/Dark/PerfMixer.svg +%%DATADIR%%/dBiz/res/Dark/PerfMixer4.svg +%%DATADIR%%/dBiz/res/Dark/QuePasa.svg +%%DATADIR%%/dBiz/res/Dark/Remix.svg +%%DATADIR%%/dBiz/res/Dark/Remix2.svg +%%DATADIR%%/dBiz/res/Dark/SPan.svg +%%DATADIR%%/dBiz/res/Dark/Smixer.svg +%%DATADIR%%/dBiz/res/Dark/Smorph.svg +%%DATADIR%%/dBiz/res/Dark/SuHa.svg +%%DATADIR%%/dBiz/res/Dark/SuHaMK2.svg +%%DATADIR%%/dBiz/res/Dark/TROSC.svg +%%DATADIR%%/dBiz/res/Dark/TROSCMK2.svg +%%DATADIR%%/dBiz/res/Dark/Transpose.svg +%%DATADIR%%/dBiz/res/Dark/Util2.svg +%%DATADIR%%/dBiz/res/Dark/Utility.svg +%%DATADIR%%/dBiz/res/Dark/VCA4.svg +%%DATADIR%%/dBiz/res/Dark/VCA530.svg +%%DATADIR%%/dBiz/res/Dark/Verbo.svg +%%DATADIR%%/dBiz/res/DejaVuSansMono.ttf +%%DATADIR%%/dBiz/res/Light/Bench.svg +%%DATADIR%%/dBiz/res/Light/Bene.svg +%%DATADIR%%/dBiz/res/Light/BenePad.svg +%%DATADIR%%/dBiz/res/Light/BigSmorph.svg +%%DATADIR%%/dBiz/res/Light/Chord.svg +%%DATADIR%%/dBiz/res/Light/Contorno.svg +%%DATADIR%%/dBiz/res/Light/ContornoExpander.svg +%%DATADIR%%/dBiz/res/Light/DAOSC.svg +%%DATADIR%%/dBiz/res/Light/DVCO.svg +%%DATADIR%%/dBiz/res/Light/Divider.svg +%%DATADIR%%/dBiz/res/Light/DrMix.svg +%%DATADIR%%/dBiz/res/Light/DrMixExt.svg +%%DATADIR%%/dBiz/res/Light/DualFilter.svg +%%DATADIR%%/dBiz/res/Light/DualMatrix.svg +%%DATADIR%%/dBiz/res/Light/FourSeq.svg +%%DATADIR%%/dBiz/res/Light/Multiple.svg +%%DATADIR%%/dBiz/res/Light/NavControl.svg +%%DATADIR%%/dBiz/res/Light/Order.svg +%%DATADIR%%/dBiz/res/Light/PerfMixer.svg +%%DATADIR%%/dBiz/res/Light/PerfMixer4.svg +%%DATADIR%%/dBiz/res/Light/QuePasa.svg +%%DATADIR%%/dBiz/res/Light/QuePasa.svg.2021_05_22_08_30_33.0.svg +%%DATADIR%%/dBiz/res/Light/Remix.svg +%%DATADIR%%/dBiz/res/Light/Remix2.svg +%%DATADIR%%/dBiz/res/Light/SPan.svg +%%DATADIR%%/dBiz/res/Light/Smixer.svg +%%DATADIR%%/dBiz/res/Light/Smorph.svg +%%DATADIR%%/dBiz/res/Light/SuHa.svg +%%DATADIR%%/dBiz/res/Light/SuHaMK2.svg +%%DATADIR%%/dBiz/res/Light/TROSC.svg +%%DATADIR%%/dBiz/res/Light/TROSCMK2.svg +%%DATADIR%%/dBiz/res/Light/Transpose.svg +%%DATADIR%%/dBiz/res/Light/Util2.svg +%%DATADIR%%/dBiz/res/Light/Utility.svg +%%DATADIR%%/dBiz/res/Light/VCA4.svg +%%DATADIR%%/dBiz/res/Light/VCA530.svg +%%DATADIR%%/dBiz/res/Light/Verbo.svg +%%DATADIR%%/dBiz/res/ShareTechMono-Regular.ttf +%%DATADIR%%/dBiz/res/component/BLEDB_0.svg +%%DATADIR%%/dBiz/res/component/BPush_0.svg +%%DATADIR%%/dBiz/res/component/BPush_1.svg +%%DATADIR%%/dBiz/res/component/CKSS_0.svg +%%DATADIR%%/dBiz/res/component/CKSS_1.svg +%%DATADIR%%/dBiz/res/component/DKnob-bg.svg +%%DATADIR%%/dBiz/res/component/DKnob-cap.svg +%%DATADIR%%/dBiz/res/component/DKnob.svg +%%DATADIR%%/dBiz/res/component/Flat-cap.svg +%%DATADIR%%/dBiz/res/component/FlatA-bg.svg +%%DATADIR%%/dBiz/res/component/FlatA.svg +%%DATADIR%%/dBiz/res/component/FlatG-bg.svg +%%DATADIR%%/dBiz/res/component/FlatG.svg +%%DATADIR%%/dBiz/res/component/FlatR-bg.svg +%%DATADIR%%/dBiz/res/component/FlatR.svg +%%DATADIR%%/dBiz/res/component/HRoundWhite-bg.svg +%%DATADIR%%/dBiz/res/component/HRoundWhite-fg.svg +%%DATADIR%%/dBiz/res/component/HRoundWhite.svg +%%DATADIR%%/dBiz/res/component/LEDB_0.svg +%%DATADIR%%/dBiz/res/component/LEDS_0.svg +%%DATADIR%%/dBiz/res/component/LEDSlider.svg +%%DATADIR%%/dBiz/res/component/LEDSliderBlueHandle.svg +%%DATADIR%%/dBiz/res/component/LEDSliderGreenHandle.svg +%%DATADIR%%/dBiz/res/component/LEDSliderHandle.svg +%%DATADIR%%/dBiz/res/component/LEDSliderRedHandle.svg +%%DATADIR%%/dBiz/res/component/LEDSliderWhiteHandle.svg +%%DATADIR%%/dBiz/res/component/LEDSliderYellowHandle.svg +%%DATADIR%%/dBiz/res/component/LRoundWhite-bg.svg +%%DATADIR%%/dBiz/res/component/LRoundWhite.svg +%%DATADIR%%/dBiz/res/component/MCKSSS_0.svg +%%DATADIR%%/dBiz/res/component/MCKSSS_1.svg +%%DATADIR%%/dBiz/res/component/MCKSSS_2.svg +%%DATADIR%%/dBiz/res/component/MicroRound.svg +%%DATADIR%%/dBiz/res/component/MicroRoundAzz-bg.svg +%%DATADIR%%/dBiz/res/component/PJ301MA.svg +%%DATADIR%%/dBiz/res/component/PJ301MB.svg +%%DATADIR%%/dBiz/res/component/PJ301ML.svg +%%DATADIR%%/dBiz/res/component/PJ301MO.svg +%%DATADIR%%/dBiz/res/component/PJ301MR.svg +%%DATADIR%%/dBiz/res/component/PJ301MVA.svg +%%DATADIR%%/dBiz/res/component/PJ301MW.svg +%%DATADIR%%/dBiz/res/component/PJ3410.svg +%%DATADIR%%/dBiz/res/component/Round-bg.svg +%%DATADIR%%/dBiz/res/component/Round.svg +%%DATADIR%%/dBiz/res/component/RoundAzz-bg.svg +%%DATADIR%%/dBiz/res/component/RoundAzz-cap.svg +%%DATADIR%%/dBiz/res/component/RoundRed-bg.svg +%%DATADIR%%/dBiz/res/component/RoundWhite-bg.svg +%%DATADIR%%/dBiz/res/component/RoundWhite.svg +%%DATADIR%%/dBiz/res/component/SDKnob-bg.svg +%%DATADIR%%/dBiz/res/component/SDKnob-cap.svg +%%DATADIR%%/dBiz/res/component/SDKnob.svg +%%DATADIR%%/dBiz/res/component/SilverSwitch_0.svg +%%DATADIR%%/dBiz/res/component/SilverSwitch_1.svg +%%DATADIR%%/dBiz/res/component/SilverSwitch_2.svg +%%DATADIR%%/dBiz/res/component/SlidePot.svg +%%DATADIR%%/dBiz/res/component/SlidePotHandle.svg +%%DATADIR%%/dBiz/res/component/SlidePotHandle2.svg +%%DATADIR%%/dBiz/res/component/SlidePotL.svg +%%DATADIR%%/dBiz/res/component/Small-bg.svg +%%DATADIR%%/dBiz/res/component/SmallBla.svg +%%DATADIR%%/dBiz/res/component/SmallBlu-cap.svg +%%DATADIR%%/dBiz/res/component/SmallBlu.svg +%%DATADIR%%/dBiz/res/component/Trim-bg.svg +%%DATADIR%%/dBiz/res/component/Trim.svg +%%DATADIR%%/dBiz/res/component/VerboDL.svg +%%DATADIR%%/dBiz/res/component/VerboDS-bg.svg +%%DATADIR%%/dBiz/res/component/VerboDS-cap.svg +%%DATADIR%%/dBiz/res/component/VerboDS.svg +%%DATADIR%%/dBiz/res/component/VerboL.svg +%%DATADIR%%/dBiz/res/component/VerboR-bg.svg +%%DATADIR%%/dBiz/res/component/VerboRS-cap.svg +%%DATADIR%%/dBiz/res/component/VerboRS.svg +%%DATADIR%%/dBiz/res/component/VerboS-bg.svg +%%DATADIR%%/dBiz/res/component/VerboS-cap.svg +%%DATADIR%%/dBiz/res/component/VerboS.svg +%%DATADIR%%/dBiz/res/component/VerboXS-bg.svg +%%DATADIR%%/dBiz/res/component/VerboXS-cap.svg +%%DATADIR%%/dBiz/res/component/VerboXS.svg %%DATADIR%%/fonts/DSEG7ClassicMini-Bold.ttf %%DATADIR%%/fonts/DSEG7ClassicMini-BoldItalic.ttf %%DATADIR%%/fonts/DSEG7ClassicMini-Italic.ttf @@ -3678,6 +4122,18 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/fonts/DejaVuSans.ttf %%DATADIR%%/fonts/Nunito-Bold.ttf %%DATADIR%%/fonts/ShareTechMono-Regular.ttf +%%DATADIR%%/forsitan-modulare/res/alea.svg +%%DATADIR%%/forsitan-modulare/res/buttons/die-negative.svg +%%DATADIR%%/forsitan-modulare/res/buttons/die.svg +%%DATADIR%%/forsitan-modulare/res/cumuli.svg +%%DATADIR%%/forsitan-modulare/res/deinde.svg +%%DATADIR%%/forsitan-modulare/res/interea.svg +%%DATADIR%%/forsitan-modulare/res/palette.svg +%%DATADIR%%/forsitan-modulare/res/pavo.svg +%%DATADIR%%/h4n4-modules/res/MatrixButton_0.svg +%%DATADIR%%/h4n4-modules/res/MatrixButton_1.svg +%%DATADIR%%/h4n4-modules/res/XenQnt-fonts.svg +%%DATADIR%%/h4n4-modules/res/XenQnt.svg %%DATADIR%%/ihtsyn/res/Davies1900hWhite20.svg %%DATADIR%%/ihtsyn/res/Davies1900hWhite20_bg.svg %%DATADIR%%/ihtsyn/res/HiVerb.svg @@ -3757,6 +4213,9 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/mscHack/res/mschack_sliderBG_02.svg %%DATADIR%%/mscHack/res/mschack_sliderKNOB_01.svg %%DATADIR%%/mscHack/res/mschack_square_button.svg +%%DATADIR%%/myth-modules/res/Mavka.svg +%%DATADIR%%/myth-modules/res/Molphar.svg +%%DATADIR%%/myth-modules/res/Template.svg %%DATADIR%%/nonlinearcircuits/res/Audiowide-Regular.ttf %%DATADIR%%/nonlinearcircuits/res/BOOLs.afdesign %%DATADIR%%/nonlinearcircuits/res/BOOLs.svg @@ -3790,10 +4249,34 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/nonlinearcircuits/res/NLC - SEGUE.svg %%DATADIR%%/nonlinearcircuits/res/NLC - STATUES.afdesign %%DATADIR%%/nonlinearcircuits/res/NLC - STATUES.svg +%%DATADIR%%/nonlinearcircuits/res/SlothApathy.svg +%%DATADIR%%/nonlinearcircuits/res/SlothInertia.svg +%%DATADIR%%/nonlinearcircuits/res/SlothTorpor.svg +%%DATADIR%%/nonlinearcircuits/res/TripleSloth.svg %%DATADIR%%/nonlinearcircuits/res/squid-axon-original-panel.svg %%DATADIR%%/nonlinearcircuits/res/squid-axon-papernoise-panel.afdesign %%DATADIR%%/nonlinearcircuits/res/squid-axon-papernoise-panel.svg %%DATADIR%%/nonlinearcircuits/res/squid-axon-papernoise-panel2.svg +%%DATADIR%%/patches/examples/DRMR_-_BassGrowl.vcv +%%DATADIR%%/patches/examples/DRMR_-_Etherpad.vcv +%%DATADIR%%/patches/examples/DRMR_-_Gabberswing.vcv +%%DATADIR%%/patches/examples/DRMR_-_Interverb.vcv +%%DATADIR%%/patches/examples/JTB_-_Waves.vcv +%%DATADIR%%/patches/examples/SpotlightKid_-_Classic-Polysynth.vcv +%%DATADIR%%/patches/examples/VT_-_Jupiter_Ascent.vcv +%%DATADIR%%/patches/examples/falkTX_-_Divide-no-Conquer.vcv +%%DATADIR%%/patches/examples/falkTX_-_Random-Progress-Pluck-Rev.vcv +%%DATADIR%%/patches/examples/falkTX_-_Salomonis-MonoRegen.vcv +%%DATADIR%%/patches/mini/falkTX_-_Moogy.vcv +%%DATADIR%%/patches/mini/falkTX_-_Saw_For_One.vcv +%%DATADIR%%/patches/templates/fx.vcv +%%DATADIR%%/patches/templates/main.vcv +%%DATADIR%%/patches/templates/mini.vcv +%%DATADIR%%/patches/templates/native.vcv +%%DATADIR%%/patches/templates/synth.vcv +%%DATADIR%%/patches/touchosc/24-direct-fader-params.tosc +%%DATADIR%%/patches/welcome-wasm-mini.vcv +%%DATADIR%%/patches/welcome-wasm.vcv %%DATADIR%%/rackwindows/res/bitshiftgain_dark.svg %%DATADIR%%/rackwindows/res/capacitor_mono_dark.svg %%DATADIR%%/rackwindows/res/capacitor_st_dark.svg @@ -3919,9 +4402,706 @@ lib/vst/Cardinal.vst/CardinalSynth.so %%DATADIR%%/stocaudio/res/polydelay.svg %%DATADIR%%/stocaudio/res/polyturing.svg %%DATADIR%%/stocaudio/res/spread.svg -%%DATADIR%%/template-fx.vcv -%%DATADIR%%/template-synth.vcv -%%DATADIR%%/template.vcv +%%DATADIR%%/stoermelder-packone/presets/MidiCat/cc01-32.txt +%%DATADIR%%/stoermelder-packone/presets/Strip/Demo.vcvss +%%DATADIR%%/stoermelder-packone/res/Affix.svg +%%DATADIR%%/stoermelder-packone/res/AffixMicro.svg +%%DATADIR%%/stoermelder-packone/res/Arena.svg +%%DATADIR%%/stoermelder-packone/res/AudioInterface64.svg +%%DATADIR%%/stoermelder-packone/res/Bolt.svg +%%DATADIR%%/stoermelder-packone/res/CVMap.svg +%%DATADIR%%/stoermelder-packone/res/CVMapCtx.svg *** 702 LINES SKIPPED ***